安徽工业大学数字逻辑课程设计报告书

合集下载

数字逻辑课程设计报告

数字逻辑课程设计报告

一、对讲机的工作原理如下1、发射部分:锁相环和压控振荡器(VCO)产生发射的射频载波信号,经过缓冲放大,激励放大、功放,产生额定的射频功率,经过天线低通滤波器,抑制谐波成分,然后通过天线发射出去。

2、接收部分:接收部分为二次变频超外差方式,从天线输入的信号经过收发转换电路和带通滤波器后进行射频放大,在经过带通滤波器,进入一混频,将来自射频的放大信号与来自锁相环频率合成器电路的第一本振信号在第一混频器处混频并生成第一中频信号。

第一中频信号通过晶体滤波器进一步消除邻道的杂波信号。

滤波后的第一中频信号进入中频处理芯片,与第二本振信号再次混频生成第二中频信号,第二中频信号通过一个陶瓷滤波器滤除无用杂散信号后,被放大和鉴频,产生音频信号。

音频信号通过放大、带通滤波器、去加重等电路,进入音量控制电路和功率放大器放大,驱动扬声器,得到人们所需的信息。

3、调制信号及调制电路:人的话音通过麦克风转换成音频的电信号,音频信号通过放大电路、预加重电路及带通滤波器进入压控振荡器直接进行调制。

4、信令处理:CPU产生CTCSS/DTCSS信号经过放大调整,进入压控振荡器进行调制。

接收鉴频后得到的低频信号,一部分经过放大和亚音频的带通滤波器进行滤波整形,进入CPU,与预设值进行比较,将其结果控制音频功放和扬声器的输出。

即如果与预置值相同,则打开扬声器,若不同,则关闭扬声器。

对讲机有频率限制为保证绝大多数用户通话不受干扰以及合理地利用频率资源,国家无线台管理委员会对频率的使用进行了划分,规定不同的行业使用相应的频率范围。

用户在购买对讲机的时候,要向当地的无线电管理委员会申请频点。

二、1008型对讲机简述1008型调频对讲收音机,其原理相对比较简单,核心芯片是La1800,即收音接收专用集成电路,功放部分采用的是D2822芯片。

总体可分为发射部分和接收部分,发射部分由锁相环和压控振荡器(VCO)产生发射的射频载波信号,经过缓冲放大、激励放大、功放,产生额定的射频功率,经过天线低通滤波器,抑制谐波成分,然后通过天线TX发射出去。

数字逻辑课程设计报告(优秀版)

数字逻辑课程设计报告(优秀版)

目录目录 (1)一、设计目的 (2)二、设计要求 (2)三、设计方案 (2)四、顶层图及相关模块说明 (3)1、顶层图 (3)2、各模块说明(1)进制模块 (3)a、二十四进制 (5)b、六十进制 (5)(1)动态扫描模块 (6)(2)分频模块 (8)(3)报时模块 (9)(4)二路选择器模块 (10)五、经验总结 (12)一、设计目的1.学会应用数字系统设计方法进行电路设计;2.进一步提高QuartusⅡ9.0软件的开发应用能力;3.培养学生书写综合实验报告的能力。

二、设计要求1、能进行正常的时、分、秒计时,用动态扫描的方式显示,需用6个数码管。

(1)用M6M5进行24进制小时的显示。

(2)用M4M3进行60进制分的显示。

(3)用M2M1进行60进制秒的显示。

2、利用按键实现“校时”、“校分”和“秒清0”功能。

(1)SA:校时键。

按下SA键时,时计数器迅速递增,按24小时循环,并且计满23时回到00。

(2)SB:校分键。

按下SB键时,分计数器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。

(3)SC:秒清零。

按下SC时,秒计数器清零。

要求按键均不产生数字跳变,因此须对“SA”、“SB”进行消抖处理。

3、能进行整点报时。

(1)在59分50、52、54、56、58秒按500Hz频率报时;(2)在59分60秒用1KHz的频率作最后一声正点报时。

4、更高要求:能进行闹时功能(1)闹时的最小时间间隙为10分钟,闹时长度为1分钟。

闹时频率可以自己设置。

(2)按下闹时按键SD后,将一个闹时时间数存入计数器内。

时钟正常运行时,闹时时间和运行的时间进行比较,当比较结果相同时输出一个启动信号,触发闹时电路工作,输出音频信号。

三、设计方案1、按自顶向下的层次化设计方法设计。

(1)顶层图(2)消抖电路用D触发器构成,SA、SB、SC为包含抖动的输入信号,而电路的输出则是一个边沿整齐的输出信号。

(3)计时(24进制计数器),计分(60进制计数器)、计秒(60进制计数器)模块可由10进制计数器连接构成,也可用VHDL语言完成。

数字逻辑课程设计完整报告(精编文档).doc

数字逻辑课程设计完整报告(精编文档).doc

【最新整理,下载后即可编辑】一、概述智能抢答器是一种生活中常见的装置,电视节目中都可以看得到,是一种简易但又特别实用的一种装置。

本次我的课程设计的要求是设计一个智力竞赛抢答装置,可以供4人(组)使用,并且每人对应着一个开关,每个开关对应着一个发光二极管,当选手抢答成功时,所对应的发光二极管就会亮,主持人也有一个开关,当主持人按下自己开关使,选手才可以抢答,比赛才开始,并且计时器开始计时,如果在2分钟时间内没有选手抢答,那么这道题作废,主持人断开自己开关,再进行下道题。

二、方案论证设计一个智能抢答器,可以供4人比赛,每人对应一个开关和发光二极管。

主持人控制一个开关,当主持人按下开关,抢答开始并且开始计时,如果2分钟内没有选手抢答,那么本题作废,主持人断开开关,进行下题。

方案一:方案一原理框图如图1所示。

图1 智力抢答器电路的原理框图方案二:方案二原理框图如图2所示。

图2 智力抢答器电路的原理框图本设计采用的是方案二,电路简单,易懂,更具性价比。

三、电路设计 1.抢答电路抢答电路是实现抢答功能,当主持人按下开关抢答开始,当最先开始选手按下开关并且对应发光二极管发光,而且其他选手抢答无效。

为实现功能当一个开关闭合同时其它开关处于断开状态时,输出高电平对应二极管发光,同时将其它三个二极管锁定为低电平,这三个开关失效。

图3 抢答电路2.计时电路计时器电路主要由三片74LS190N 构成。

将三片计数器芯片接成120进制的加法计数器并将初始值置为000,接收脉冲信号由000开始计时。

选手按钮显示电路译码电路 控制电路主持人按钮脉冲电路计时电路 报警电路表1 同步十进制加/减计数器74LS190N功能表的控制停止计数且保持当前数据不变;当LD′=0时,计数器不受CLK的控制预置数;当CTEN′=0,LD′=1,U′/D=0时计数器加法计数,CTEN′=0,LD′=1,U′/D=1时计数器减法计数;当低位计数器U8到9时进位,高位加1。

数字逻辑课程设计报告

数字逻辑课程设计报告

一、概述当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器,抢答器在单位学校的知识竞赛中,在各类直播节目,电视大奖赛,都有着广泛的应用,是调动现场观众参与热情,提高栏目档次与可看性,增强栏目互动性,方便栏目创意的有力工具,因此各路抢答器电路被广泛的应用于各种竞赛中,是一种很常见的实用电路。

本文的研究目的是通过查找资料,以及自己动手设计,自己动手连接电路,并且仿真出结果的过程,是我们对数字电子线路的设计有一个认识了解,并且对所学的知识有一个复习,并且学会应用。

五路抢答器的设计任务以及技术指标是可供五名选手参加比赛,还有一个按钮给主持人用来清零。

所以抢答电路由六个开关组成,其中五个开关为抢答开关分别对应编号为1-5的选手,另一个开关是有主持人控制的清零开关,主持人控制的开关,可以手动清零复位。

抢答器具有数据锁存功能,并将锁存的数据用LED数码管显示出来。

在主持人将系统清零后,若有参赛者按动按钮,数码管立即显示出最先动作的选手的编号,其他选手再按下抢答开关为无效。

抢答器对抢答选手动作的先后有较强的分辨能力,能分辨出抢答者的先后,即不显示后动作的选手编号。

二、方案论证方案一:方案一工作原理:本电路由主体电路和扩展电路两部分组成。

主体电路完成基本的抢答功能即开始抢答后,当选手按抢答键时,能显示选手编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时抢答的功能。

方案一原理框图如图1所示。

图1 方案一电路的原理框图方案二:方案二工作原理:本电路分为三部分:显示电路,响铃电路和减法计时电路。

555构成单稳态电路实现延时控制音响,用74ls192来锁存输入信号,直接用信号发生器给减法计数器提供脉冲信号。

方案二原理框图如图2所示。

图2 方案二电路的原理框图由上面的原理框图可以看出方案一的工作原理与方案二的工作原理的基本组成类似。

比较两种设计方案,第一种方案采用8个D触发器来所存最早输入的信号,且不受其他后输入的信号的干扰,抗干扰能力强,而且方案一与方案二的设计电路基本类似,方案二的电路比较直观,好理解,所以对于本次的数字电子课程设计,我准备采用方案二来进行设计、仿真。

数字逻辑课程设计报告2

数字逻辑课程设计报告2

第10页目录2六.实验目的———————————————————————11 七.计数器(方法及步骤)—————————————————12 八.译码器(方法及步骤)—————————————————14 九.接连—————————————————————————15 十. 实验总结——————————————————————16六.实验目的目的1.熟悉IspLEVER软件的使用方法,学习与掌握MACH器件编程方法;2.用ABEL语言或其它硬件描述语言(Verilog 或VHDL语言)编程实现一个简单的电子琴或频率计;3.在ispLEVEL软件环境下,将设计好的程序输入、编译、连接,生成JEDEC格式的文件。

4.将JEDEC格式的文件下载到器件中。

要求1.初步掌握使用ABEL语言编程的方法,使用ABEL语言设计一个4位格雷码计数器或可逆十进制计数器,并进行编译、连接,生成JEDEC文件,将JEDEC文件通过GAL编程器写入GAL16V8,将GAL16V8插入TDS实验台,将计数器的输出接到电平指示灯,验证设计结果。

2.用ABEL语言(或VHDL语言)设计一个电子琴或一个频率计;将设计好的程序输入、编译、连接生成JED格式的文件;将JED格式的文件下载到器件中;在TDS实验台上对设计进行调试,连线、验证设计结果。

第11页七.计数器实验运行实验演示图第12页下载到芯片第13页八.译码器实验运行实验演示图第14页九.接连实验运行实验演示图第15页下载到芯片十.实验总结第一次接触到ispEVLER,对它没有一丁点的经验可言,这也是这次试验的困难的地方。

本次试验由于我没有好好的阅读实验指导书,在实验过程中出现了好多不懂的地方。

而且地闹上的工具都是英文的,我一点都都不懂。

在第一次的实验演示的时候就因为不太理解老师的讲解而没有跟上老师地进度,所以我第一天的任务没有完成,找了半天错误原因也没有找到,我只好第二天又重新做了一遍,这次我吸取教训认认真真的阅读了指导书的步骤和方法,然后一步一步的按部就班,最终在上课不久之后我很顺利的完成了任务,有了第一次的经验,在完成计数器和译码器的工程中,非常顺利和快速,同学们都是用了源代码仿真,但我对于源代码的输入太慢,所以我选择了画图的方式,在最后一步的接连过程中,由于我的两个子文件在两个文件夹中,老师说也没办法一起打开,无奈的我只好使用一个文件,再将另一个文件从新画了一遍。

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计报告数字逻辑课程设计多功能数字钟班级:学号:课程设计人:指导老师:课题:完成时间:一、设计目的:学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。

二、设计任务及要求:1.记时、记分、记秒2.校时、校分、秒清03.整点报时4.时间正常显示5.闹时功能三、设计思路:将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。

1.计时模块计小时:24进制计数器计分、计秒:60进制计数器计时间过程:计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。

计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。

计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。

二十四进制计数器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt24 isport (clk:in std_logic;qh,ql:out std_logic_vector(3 downto 0));end cnt24;architecture behave of cnt24 issignal q1,q0:std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk'event and clk='1')thenif(q1="0010" and q0="0011")thenq1<="0000";q0<="0000";elsif(q0="1001")thenq0<="0000";q1<=q1+'1';elseq0<=q0+'1';end if;end if;qh<=q1;ql<=q0;end behave;仿真结果:图一、cnt24仿真图像六十进制计数器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 isport (clk:in std_logic;clr:in std_logic;ql,qh:out std_logic_vector(3 downto 0);c:out std_logic);end cnt60;architecture cnt of cnt60 issignal q1,q0:std_logic_vector(3 downto 0);beginprocess(clk,clr)beginif(clr='1')thenq1<="0000";q0<="0000";c<='0';elseif(clk'event and clk='1')thenif(q1="0101" and q0="1001")then-----到59 q1<="0000";q0<="0000";c<='1';elsif(q1<"0101" and q0="1001")thenq0<="0000";q1<=q1+'1';c<='0';elsif(q0<"1001") thenq0<=q0+'1';end if;end if;end if;qh<=q1;ql<=q0;end cnt;仿真结果:图二、cnt60仿真图像clk qh[3..0]ql[3..0] cnt24instclkclrql[3..0]qh[3..0]c cnt60inst1图三、生成的计数器符号2.校时模块:思路:按下校时键,时位迅速递增,满23清0按下校分键,分位迅速递增,满59清0注意:此时应屏蔽分进位。

数字逻辑实验报告武大(3篇)

数字逻辑实验报告武大(3篇)

第1篇一、实验目的1. 理解数字逻辑的基本概念和原理;2. 掌握数字逻辑电路的基本分析方法;3. 熟悉数字电路仿真软件的使用;4. 培养实验操作能力和问题解决能力。

二、实验内容及步骤1. 实验一:组合逻辑电路设计(1)设计2选1多路选择器(MUX21)1)根据教材5.1节流程,利用Quartus II完成MUX21的文本编辑输入(MUX21.v);2)进行仿真测试,给出仿真波形;3)在实验系统上硬件测试,验证设计功能;4)引脚锁定及硬件下载测试,a和b分别接来自不同的时钟,输出信号接蜂鸣器;5)编译、下载和硬件测试实验,通过选择键1,控制s,可使蜂鸣器输出不同音调。

(2)设计三人表决电路1)根据教材5.1节流程,利用Quartus II完成三人表决电路的文本编辑输入(图5-36);2)进行仿真测试,给出仿真波形;3)在实验系统上硬件测试,验证设计功能;4)引脚锁定及硬件下载测试,ABC[2..0]分别接自键3、键2、键1;CLK接自时钟CLOCK0(256Hz),输出信号X接D1,输出信号Y接蜂鸣器;5)编译、下载和硬件测试实验,通过按下键3、键2、键1,控制D1的亮灭。

2. 实验二:时序逻辑电路设计(1)设计‘101’序列检测器1)验证RS/D/JK/T触发器的功能;2)熟悉逻辑分析仪、字发生器的使用;3)形成原始的状态图和状态表;4)采用Mealy型同步时序逻辑电路实现序列检测器的功能;5)初始状态:A,状态1:B,状态2:C;6)状态化简(用隐含表);7)状态编码(优先级1>2>3的顺序编码);8)确定激励函数和输出函数,并画出逻辑电路图;9)在Ni Multisim上实现电路的仿真;10)记录实验现象,采用截屏波形的方法。

(2)设计RISC-V五级流水线CPU1)了解数字逻辑与组成原理实践教程;2)设计32位RISC-V五级流水线CPU代码;3)使用Modelsim进行仿真;4)提供项目源代码、测试数据、设计图和指令集;5)编写实验报告,包括实验目的、环境介绍、系统设计、实验步骤和结果分析。

湖工的数字逻辑课程设计

湖工的数字逻辑课程设计

湖工的数字逻辑课程设计一、课程目标知识目标:1. 学生能理解数字逻辑电路的基本概念,掌握二进制数及编码方法。

2. 学生能掌握逻辑门电路的类型及功能,并运用其进行简单的逻辑电路设计。

3. 学生能理解并运用组合逻辑电路的分析与设计方法,完成给定逻辑功能的实现。

技能目标:1. 学生能运用所学知识,解决数字逻辑电路相关问题,提高逻辑思维和问题解决能力。

2. 学生能通过实验操作,学会使用数字逻辑实验仪器,培养动手实践能力。

3. 学生能通过小组合作,提高沟通协作能力,共同完成复杂的逻辑电路设计与分析。

情感态度价值观目标:1. 学生能培养对数字逻辑电路的兴趣,认识到其在现代科技中的重要性。

2. 学生在学习过程中,养成严谨、细致、勇于探索的科学态度。

3. 学生通过课程学习,增强团队协作意识,培养合作共赢的价值观。

课程性质:本课程为湖工电子与信息工程等相关专业本科生的专业基础课,旨在使学生掌握数字逻辑电路的基本知识,为后续相关课程打下基础。

学生特点:学生具备一定的电子技术基础,具有较强的逻辑思维能力,但对数字逻辑电路的实际应用尚不了解。

教学要求:结合学生特点,注重理论与实践相结合,通过实例分析、实验操作等教学手段,使学生能够将所学知识应用于实际电路设计与分析中。

同时,注重培养学生的团队合作能力和创新意识。

在教学过程中,将课程目标分解为具体的学习成果,以便进行教学设计和评估。

二、教学内容1. 数字逻辑基础理论- 数字逻辑电路概述:介绍数字逻辑电路的基本概念、发展及应用。

- 数制与编码:讲解二进制、十进制、十六进制等数制及其相互转换方法,常见编码方式如BCD码、格雷码等。

2. 逻辑门电路- 逻辑门类型:介绍与门、或门、非门、与非门、或非门等基本逻辑门电路。

- 逻辑门功能:分析各类逻辑门的功能及真值表。

3. 组合逻辑电路- 组合逻辑电路分析与设计:介绍组合逻辑电路的概念、分析方法(卡诺图、逻辑表达式等)和设计方法。

- 常用组合逻辑电路:讲解编码器、译码器、数据选择器、数据比较器等电路的工作原理及应用。

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计报告——多功能数字钟的设计一·设计目的通过设计多功能数字钟,我们对数字逻辑电路的设计有更深入的了解,同时增强这方面的动手能力,解决问题以及独立思考的能力。

为我们将来进入社会做铺垫。

设计任务及要求(1)拥有正常的时、分、秒计时功能。

(2)能利用实验板上的按键实现校时、校分、及秒清零功能。

(3)能利用实验板上的扬声器做整点报时。

(4)闹钟功能(选做)(5)在Quartus II中采用层次化设计方法进行设计。

(6)完成全部电路设计后在实验板上下载,验证设计课题的正确性。

二·原理叙述1 计时模块:使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。

二十四进制用于计时,六十进制用于计分和计秒。

给秒计数器一个1hz的时钟脉冲,分计数器以秒计数器的进位作为计数脉冲,时计数器以分计数器的进位作为计数脉冲。

2 校时模块:分别按下校时键和校分键,计数器增至所需时分数,按下清零键,秒计数器归零。

3 整点报时模块:计时到59分50秒,每两秒一次低音报时,整点进行高音报时,将报时信号接到实验板上的扬声器输出。

、4 分频模块:通过不同进制的计数将1khz的时钟脉冲分频出不同频率的时钟脉冲,以实现系统对多频率时钟信号的需求。

5 动态显示模块:利用6个数码管,将时分秒按照高低位输出到数码管上。

其中扫描频率超过人眼视觉暂留频率就可以实现六个管同时显示的视觉效果。

6 闹钟模块:需要新的计数器进行定时闹钟信号的存储,与正常计时状态实现切换,设计一个比较模块使闹钟和计时相等时,开始鸣叫,同时需要一个按键能够控制闹钟的开关。

三·设计过程一编写计时模块代码并生成器件:24进制代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt24 isport(clk:in std_logic;clr:in std_logic;ql:buffer std_logic_vector(3 downto 0);qh:buffer std_logic_vector(3 downto 0);tc:out std_logic);end cnt24;architecture beh of cnt24 isbeginprocess(clk,clr)beginif clr='1'thenqh<="0000";ql<="0000";elsif clk'event and clk='1' thenql<=ql+1;if ql="1001"thenqh<=qh+1;ql<="0000";end if;if qh="0010" and ql="0011"thenqh<="0000";ql<="0000";tc<='1';else tc<='0';end if;end if;end process;end beh;60进制代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 isport(clk:in std_logic;clr:in std_logic;ql:buffer std_logic_vector(3 downto 0);qh:buffer std_logic_vector(3 downto 0);tc:out std_logic);end cnt60;architecture beh of cnt60 isbeginprocess(clk)beginif clr='1'thenqh<="0000";ql<="0000";elsif clk'event and clk='1' thenql<=ql+1;if ql="1001"thenqh<=qh+1;ql<="0000";if qh="0101"thenqh<="0000";tc<='1';else tc<='0';end if;end if;end if;end process;end beh;二编写校时模块代码并生成器件:二路选择器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity mux2 isport(A,B,S:in std_logic;Y:out std_logic);end mux2;architecture beh of mux2 isbeginprocess(S)beginif(S='0')then Y<=A;else Y<=B;end if;end process;end beh;三编写整点报时模块代码并生成器件:整点报时代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity zdbs isport(mh,ml,sh,sl: in std_logic_vector(3 downto 0); sig500,sig1k: out std_logic);end zdbs;architecture beh of zdbs isbeginprocess(mh,ml,sh,sl)beginsig500<='0';sig1k<='0';if(mh="0101" and ml="1001" and sh="0101" )then if (sl="0000" or sl="0010" or sl="0100"or sl="0110" or sl="1000")thensig500<='1';end if;elsif(mh="0000" and ml="0000" and sh="0000" andsl="0000")then sig1k<='1';end if;end process;end beh;四编写分频模块代码并生成器件:分频器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity freq_divider isport( clk:in std_logic;hz1,hz4,hz64,hz512,hz2:out std_logic);end freq_divider;architecture beh of freq_divider issignal c1:std_logic_vector(9 downto 0);beginprocess(clk)beginif(rising_edge(clk))thenc1<=c1+1;if c1=(others>='1')then c1<=(others>='0');end if;hz512<=c1(0);hz64<=c1(3);hz4<=c1(7);hz2<=c1(8);hz1<=c1(9);end process;end beh;五编写动态显示模块并生成器件:动态显示代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dtsm isport(clk: in std_logic;h,m,s: in std_logic_vector(7downto 0); seg7out: out std_logic_vector(6 downto 0); sel: buffer std_logic_vector(2 downto 0) );end dtsm;architecture whbkrc of dtsm issignal key:std_logic_vector(3 downto 0); beginprocess(clk) --显示定位beginif (clk'event and clk='1') thensel<=sel+1;if(sel="101") then sel<="000";end if;end if;end process;process(sel)begincase sel iswhen "000"=>key<=h(7 downto 4);when "001"=>key<=h(3 downto 0);when "010"=>key<=m(7 downto 4);when "011"=>key<=m(3 downto 0);when "100"=>key<=s(7 downto 4);when "101"=>key<=s(3 downto 0);when others=>null;end case;end process;process(key)begincase key iswhen "0000" => seg7out <= "0111111"; --'0'不亮when "0001" => seg7out <= "0000110";when "0010" => seg7out <= "1011011";when "0011" => seg7out <= "1001111";when "0100" => seg7out <= "1100110";when "0101" => seg7out <= "1101101";when "0110" => seg7out <= "1111101";when "0111" => seg7out <= "0000111";when "1000" => seg7out <= "1111111";when "1001" => seg7out <= "1101111";when others=>null;end case;end process;end whbkrc;六编写闹钟模块生成器件:利用已有器件直接写代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity alarm1 isport ( clr,clk,mode,stop:in std_logic;hclo,mclo,sclo:in std_logic_vector(7 downto 0);h,m,s:out std_logic_vector(7 downto 0);sig:out std_logic);end alarm1;architecture beh of alarm1 issignal halr,malr,salr:std_logic_vector(7 downto 0);signal tc:std_logic;component cnt60port(clk:in std_logic;clr:in std_logic;ql:buffer std_logic_vector(3 downto 0);qh:buffer std_logic_vector(3 downto 0);tc:out std_logic);end component;component cnt24port(clk:in std_logic;clr:in std_logic;ql:buffer std_logic_vector(3 downto 0);qh:buffer std_logic_vector(3 downto 0);tc:out std_logic);end component;beginhours :cnt24 port map(clk=>clk, clr=>clr, ql=>halr(3 downto 0), qh=>halr(7 downto 4),tc=>tc);minutes :cnt60 port map(clk=>clk, clr=>clr, ql=>malr(3 downto 0), qh=>malr(7 downto 4),tc=>tc);seconds :cnt60 port map(clk=>clk, clr=>clr, ql=>salr(3 downto 0), qh=>salr(7 downto 4),tc=>tc);process(mode) --mode convertbeginif(mode='0')then h<=hclo;m<=mclo;s<=sclo;else h<=halr;m<=malr;s<=salr;end if;end process;process(hclo,mclo,halr,malr,sclo(0),stop) beginif stop='1' then sig<='0';else if hclo=halr and mclo=malr thenif(sclo(0)='1')thensig<='1';else sig<='0';end if;end if;end if;end process;end beh;编写校时与设置闹钟的按键切换代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux21 isport(A,B,C,S:in std_logic;halr,malr,salr,hclo,mclo,sclo:out std_logic); end mux21;architecture beh of mux21 isbeginprocess(S)beginif(S='0')then hclo<=A;mclo<=B;sclo<=C;else halr<=A;malr<=B;salr<=C;end if;end process;end beh;将各个元件组合到一起然后编译,锁定管脚,下载验证。

安徽工业大学数字逻辑课程设计

安徽工业大学数字逻辑课程设计

数字逻辑课程设计姓名:张振华班级:网124学号:129074422一、设计任务要求数字时钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。

计时采用24h和12h两种。

当接通电源或数字钟走时出现误差,都需要对数字钟作时、分、秒时间校正。

本次设计的具体要求如下:1、显示时、分、秒的十进制显示,采用24小时制。

2、校时功能。

3、整点报时。

二、设计思路1、数字钟的组成原理图数字式电子钟实际上是一个对标准1Hz进行计数的计数电路!秒计数器满60后向分计数器进位,,分计数器满60后向时计数器进位,时计数器按24翻1 规律计数,计数输出经译码器送LED显示器,由于计数的起始时间不可能与标准时间一致,故需要在电路上加上一个校时电路同时标准的1Hz时间信号必须做到准确、稳定,通常使用石英晶体振荡器电路构成,如下图所示为数字式电子钟的构成原理框图。

2、数字钟设计方案为完成上述功能,可以把数字钟系统划分为三部分:时针源(即标准秒钟的产生电路)主体电路,扩展电路。

主体电路EDA设计又可划分为计时电路、校时电路、译码显示电路3部分。

3、底层电路设计时针源一一晶体振荡器电路给数字式电子钟提供一个频率稳定、准确的32768Hz 的方波信号,将32768Hz 的高频方波信号经32768次分频后得到1Hz 的方波信号供秒计数器进行计数,实现该分频功能的计数器相当于 15级二进制 计数器。

计时电路一一时间计数器电路由秒个位、秒十位计数器,分个位、分十位计数及时个位、时十位计数电路构成。

其中,秒个位和秒十位计数器,分个位和 分十位计数为六十进制计数器,而根据设计要求时个位和时十位构成的为二十四 进制计数器,时间计数单元共有:时计数,分计数和秒计数3部分,根据设计要求时计数单元为一个二十四进制计数器,共输出为两位 8421BCD 码形式;分计 数和秒计数单元为六十进制计数器!,共输出也为两位8421BCD 码。

图1和图2分 别给出了 60进制计数器和24进制逻辑图。

数字逻辑课程设计实验报告

数字逻辑课程设计实验报告
count2:std_logic_vector(3 downto 0):计数器2,控制固定高电平态及发送计数
sending:发送状态标志,初始为0,开始发送后为1,发送完毕后置0
sendbit:发送位,在固定高电平段为1,在发送有效数据态时为发送位电平
fengming:标志是否成功发送的蜂鸣
clk1000:频率为1000HZ的时钟
b.接收模块流程图:
接受模块的设计基本和发送端基本相同。用计数器1对外接CP进行16分频产生时钟cp2,clear可以实现寄存器清零操作。接收到高电平后计数器2开始计数,大于0110后接收到的字节依次移位存入寄存器中。收到最后一位校验码后对接收到的数据作偶校验后根据校验结果显示“接受错”和蜂鸣。
d.传输的过程中,接收端的校验指示灯熄灭。当接收端接收0个数据后(1位校验码和8位数据位),接收端根据相应的校验方式来判断所接收的数据是否正确。接收端的校验指示灯亮,表示传输的数据正确;校验指示灯熄灭,表示传输的数据不正确。
三.实验环境介绍
1.软件平台介绍
本次实验采用ISP(在系统编程)技术。在系统编程技术(In-System Programmabile)技术是Lattice公司率先提出的一种先进的编程技术,是指对器件、电路板或整个逻辑系统的电子功能课随时进行修改或重构,这种修改或重构可以在产品设计、制造过程中的每个环节,甚至在交付用户之后进行。采用了isp技术之后,硬件设计就可以变得像软件那样灵活而且易于修改。这不仅扩展了器件的用途,缩短了系统的调试周期,也给目标设备的现场升级和维护工作带来了极大的方便。
本次实验主要用到可编程的ispLSI1032E芯片。
四.实验设计方案
1.设计方案Biblioteka 明红外线传输系统包括发送方和接受方两端,都可以单独进行初始化清零处理。在发送端可以设置准备发送的8bits的数据信息,连同一个奇偶校验位一起发送。接收端接受到8bits的数据信息和一位奇偶校验信息后,显示收到的数据信息和校验信息,并根据偶校验判定接收到的信息是否出错。实验时若无红外发送接收元件则可以用一根导线连接两端暂时代替。

安徽工业大学数字逻辑课程设计申元霞老师数字密码锁

安徽工业大学数字逻辑课程设计申元霞老师数字密码锁

————————数字逻辑课程设计数字密码锁设计姓名:徐俊波班级:网113班学号:119******指导老师:申元霞课题名称:数字密码锁设计简介引言随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。

一、设计题目:数字密码锁的设计。

二、设计任务要求电子器件设计并制作密码锁的控制电路,使之在输入正确的代码时,输出信号以启动执行机构动作。

三、设计要求1、基本要求(1)密码锁控制器中存储一个4位代码,当开锁按扭开关(的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。

(2)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并由扬声器发出持续10至20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。

(3)要求性能可靠、操作简便。

设计方案一:设计思路共设了10个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过5秒电路将报警。

二:总体设计框图三:设计原理、系统方框图该密码锁设置了10个按键输入,其中有4个密码键输入,其余6个为伪密码键输入。

在连续正确键入4个密码键后密码锁开锁,如果键入其中的伪密码键,D触发器将全部清零。

同时设置了限时电路,采用了74LS90芯片。

如果在规定的时间不能将密码锁解锁,那么红灯将亮起。

系统结构框图各部分选定方案及电路组成、相关器件说明主体部分有九个按键,其中有四个正确的键,按错一个键,实现同步清零,因此保密性相对较高。

主体密码锁电路图计时功能电路电路真值表四:各部分电路组成及相关器件说明按键电路采用双D触发器和单刀双置开关,还有五或门,报警电路用7490实现模4,模5计数器。

74LS90功能:十进制计数器(÷2 和÷5)原理说明:本电路是由4 个主从触发器和用作除2 计数器及计数周期长度为除5 的3 位2 进制计数器所用的附加选通所组成。

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑与数字系统课程设计实验报告姓名:学号:专业班级:指导老师:一)设计题目:电子钟二)设计任务和基本要求:1.实验台上的六个数码管显示时、分、秒;2.能使电子钟复位(清零);3.能启动和停止电子钟运行;4.在电子钟停止运行状态下,能够修改时、分、秒的值;5.具有报时功能,整点时喇叭鸣叫。

三)所用主要器件和设备:1、TDS 系列数字电路实验系统2、ISP 系统可编程器件以及《数字逻辑》课程实验所用部分中、小规模集成电路等3、PC 计算机4、ISP1032E 可编程逻辑器件以及数据选择器、触发器、移位寄存器、计数器及基本门电路等5、在Windows 平台上运行的ispLEVER 编程软件四)设计思想:数字钟电路的基本结构由两个 60 进制计数器和一个24 进制计数器组成,分别对秒、分、小时进行计时,当计时到23 时59 分59 秒时,再来一个计数脉冲,则计数器清零,重新开始计时。

当数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒的计时结果通过6 个数码管来动态显示。

数字钟除了能够正常计时外,还应能够对时间进行调整。

因此,通过模式选择信号MOD1、MOD2 控制数字钟的工作状态,即控制数字钟,使其分别工作于正常计时,调整分、时和设定分、时5 个状态。

当数字钟处于计时状态时,3 个计数器允许计数,且秒、分、时计数器的计数时钟信号分别为CLK,秒的进位, 分的进位;当数字钟处于调整时间状态时,被调的分或时会一秒一秒地增加;当数字钟处于定时状态时,可以设定小时和分;当计时到所设定的时刻时,会响闹钟五)设计步骤及程序:pause是暂停键,当暂停时set1与set2分别控制调节为时还是为分或是为秒。

exam提供一个模拟上升沿,hh,hl,mh,ml,sh,sl分别控制小时高位,低位,分钟高位,分钟低位,秒高位,低位。

thh,thl,tmh,tml,tsh,tsl分别表示时分秒变化的中间变量。

安工大数字逻辑实验报告

安工大数字逻辑实验报告

《数字逻辑》实验报告指导老师:陶陶学号:139074083姓名:李瑞贤班级:计133班日期:2015.5.28实验一名称:3-8译码设计一、实验任务设计一个3-8译码器。

二、填写表格A B C LED0 LED1LED2LED3LED4LED5LED6LED70 0 0 亮灭灭灭灭灭灭灭1 0 0 灭灭灭灭亮灭灭灭0 1 0 灭灭亮灭灭灭灭灭1 1 0 灭灭灭灭灭灭亮灭0 0 1 灭亮灭灭灭灭灭灭1 0 1 灭灭灭灭灭亮灭灭0 1 1 灭灭灭亮灭灭灭灭1 1 1 灭灭灭灭灭灭灭亮三、实验原理图:三八译码器由三个输入端编码,输出有八个输出端。

用与门以及非门通过“导线”连接而成。

四、实验步骤:1)打开软件max+plus2,建立新目标文件开始画图。

并保存原图,设置项目指向。

2)选择芯片类型本实验选择EPF10K10LC84-3芯片3)编译配置4)时序仿真:由仿真结果可以看出,本实验仿真成功。

五、错误分析:连线时,线条不能连接到器件内部,否则会出现编译错误。

同时,添加激励脉冲时a,b,c分别为2倍的关系。

加错激励信号结果也将不正确。

实验二名称:全加全减器设计一、实验任务设计并实现一个一位全加全减器。

二、实验原理图a,b,c为三个输入端,分别输入0或者1,m为控制端当m=1是全减器,m=0时是全加器,输出端s表示结果,y代表进位或借位。

三、实验步骤:1)打开软件max+plus2,建立新目标文件开始画图。

并保存原图,设置项目指向。

2)选择芯片类型本实验选择EPF10K10LC84-3芯片3)编译配置4)时序仿真:由仿真结果可以看出,全加全减器仿真成功。

实验三7段显示译码器的优化实现1.设计电路及说明电路如下:设计:由7段译码器的特性可以做出真值表,由真值表可推出输出项与输入项的逻辑函数表达式(表达式中输入项应尽量少,便于设计电路),由逻辑表达式可以设计电路实现,书中输出项 直接由输入项组合而成,中间过程可由4线-16出译码器代替。

广工数字逻辑课程设计

广工数字逻辑课程设计

广工数字逻辑课程设计一、课程目标知识目标:1. 理解数字逻辑电路的基本概念,掌握逻辑门、组合逻辑电路和时序逻辑电路的分类及功能;2. 学会分析并设计简单的数字逻辑电路,理解其工作原理;3. 掌握数字逻辑电路的测试与调试方法,能够运用所学知识解决实际问题。

技能目标:1. 培养学生运用数字逻辑电路设计软件进行电路设计的能力;2. 培养学生动手搭建和调试数字逻辑电路的技能;3. 培养学生团队协作和沟通表达的能力,能够就设计过程中遇到的问题进行讨论和解决。

情感态度价值观目标:1. 培养学生对数字逻辑电路的兴趣,激发学生的学习热情;2. 培养学生严谨、细心的科学态度,养成良好的实验习惯;3. 培养学生创新思维,敢于尝试新方法,勇于克服困难;4. 培养学生具备信息安全意识,了解数字逻辑电路在国家安全和社会发展中的重要作用。

课程性质:本课程为工程专业课程,旨在使学生掌握数字逻辑电路的基本知识,培养实际设计和应用能力。

学生特点:学生具备一定的电子技术基础知识,对数字逻辑电路有一定了解,但实际操作和设计经验不足。

教学要求:结合学生特点和课程性质,采用理论教学与实验操作相结合的方式,注重培养学生的实践能力和创新精神。

通过本课程的学习,使学生能够达到上述课程目标,为后续相关课程和实际工作打下坚实基础。

二、教学内容1. 数字逻辑基础:逻辑门电路、布尔代数及其应用、逻辑函数的化简与实现。

教材章节:第1章 数字逻辑基础2. 组合逻辑电路:编码器、译码器、数据选择器、算术逻辑单元等组合电路的设计与分析。

教材章节:第2章 组合逻辑电路3. 时序逻辑电路:触发器、计数器、寄存器等时序电路的原理、设计与实现。

教材章节:第3章 时序逻辑电路4. 数字电路设计方法:自上而下设计方法、层次化设计方法、EDA工具的应用。

教材章节:第4章 数字电路设计方法5. 数字逻辑电路测试与调试:故障诊断、测试生成、测试方法及测试设备。

教材章节:第5章 数字逻辑电路测试与调试6. 实践项目:结合所学知识,分组进行数字逻辑电路设计、搭建、调试及优化。

数字逻辑实验报告书2

数字逻辑实验报告书2

资料范本本资料为word版本,可以直接编辑和打印,感谢您的下载数字逻辑实验报告书2地点:__________________时间:__________________说明:本资料适用于约定双方经过谈判,协商而共同承认,共同遵守的责任与义务,仅供参考,文档可直接下载或修改,不需要的部分可直接删除,使用时请详细阅读内容班级:计科1111学号:姓名:指导老师:数字逻辑.......实验报告书目录绪论 (1)1.1 数字逻辑的概述 (1)HYPERLINK \l "数字电路特点" 1.2 数字电路的特点 (1)HYPERLINK \l "基本逻辑电路" 1.3 基本逻辑电路 (1)1.4 逻辑函数的表达方法 (2)第二章 EWB 5.0概述... .. (2)HYPERLINK \l "EWB软件简介" 2.1 EWB软件简介 (2)HYPERLINK \l "EWB软件特点" 2.2 EWB软件特点 (2)HYPERLINK \l "EWB软件的优点" 2.3 EWB软件的优点 (2)实际电路设计 (3)3.1 0~8的倒计时表 (3)3.2 8~0的倒计时表 (4)3.3 霓虹灯的设计 (6)3.4 打铃系统 (7)3.5 寝室熄灯管理系统 (11)第四章实验过程中遇到的问题 (12)第五章总结 (13)第一章绪论1.1 数字逻辑概述数字逻辑是 HYPERLINK "/ShowTitle.e?sp=S数字电路" 数字电路 HYPERLINK"/v5968060.htm?ch=ch.bk.innerlink" 逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。

电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为 HYPERLINK "/v399786.htm?ch=ch.bk.innerlink" 组合逻辑电路和 HYPERLINK "/v399794.htm?ch=ch.bk.innerlink" 时序逻辑电路。

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计报告一、课程目标知识目标:1. 让学生掌握数字逻辑电路的基本概念,包括逻辑门、逻辑函数、逻辑代数等;2. 培养学生运用逻辑门设计简单组合逻辑电路的能力;3. 使学生了解数字电路的时序元件,如触发器、计数器等,并掌握其工作原理。

技能目标:1. 培养学生运用所学知识分析、设计及验证数字逻辑电路的能力;2. 培养学生使用相关软件(如Multisim、Proteus等)进行数字电路仿真实验;3. 提高学生的逻辑思维和问题解决能力。

情感态度价值观目标:1. 激发学生对数字逻辑电路的兴趣,培养其主动探究、积极思考的学习态度;2. 培养学生的团队协作精神,使其在合作中共同进步,相互学习;3. 引导学生关注数字逻辑电路在实际应用中的价值,如计算机、通信等领域。

分析课程性质、学生特点和教学要求:本课程为电子信息类学科的基础课程,旨在让学生掌握数字逻辑电路的基本知识和技能。

学生处于高中阶段,具有一定的物理和数学基础,但逻辑电路知识尚浅。

因此,教学要求以实用性为导向,注重培养学生的实际操作能力和逻辑思维能力。

课程目标分解为具体学习成果:1. 学生能够正确描述常见逻辑门的功能和特点,并运用逻辑门设计简单的组合逻辑电路;2. 学生能够运用时序元件设计基本的数字电路,如触发器、计数器等;3. 学生能够在团队协作中完成数字电路的设计、仿真和验证,提高解决问题的能力;4. 学生能够认识到数字逻辑电路在实际应用中的重要性,培养其学习兴趣和价值观。

二、教学内容根据课程目标,教学内容主要包括以下几部分:1. 数字逻辑电路基本概念- 逻辑门原理与分类(教材第1章)- 逻辑函数及其表示方法(教材第2章)- 逻辑代数基本运算与化简(教材第3章)2. 组合逻辑电路设计- 组合逻辑电路分析方法(教材第4章)- 常见组合逻辑电路设计(教材第5章)- 组合逻辑电路的仿真与验证(教材第6章)3. 时序逻辑电路设计- 触发器原理与分类(教材第7章)- 计数器设计与应用(教材第8章)- 时序逻辑电路的仿真与验证(教材第9章)4. 数字电路实践操作- 实验一:逻辑门功能验证(教材附录A)- 实验二:组合逻辑电路设计与仿真(教材附录B)- 实验三:时序逻辑电路设计与仿真(教材附录C)教学大纲安排与进度:第1-2周:数字逻辑电路基本概念(第1-3章)第3-4周:组合逻辑电路设计(第4-6章)第5-6周:时序逻辑电路设计(第7-9章)第7-8周:数字电路实践操作(附录A、B、C)三、教学方法针对本课程的教学目标和内容,选择以下多样化的教学方法,以激发学生学习兴趣和主动性:1. 讲授法:- 用于讲解数字逻辑电路的基本概念、原理和性质,如逻辑门、逻辑函数、逻辑代数等;- 结合多媒体演示,使抽象的理论知识形象化,便于学生理解。

数字逻辑电路课程设计_路灯控制器汇总

数字逻辑电路课程设计_路灯控制器汇总

《数字逻辑》课程设计实验报告书安徽工业大学计算机学院题目路灯控制器姓名周岑林专业网络工程班级 114学号 119074417指导教师申元霞2012年4 月日○1设计任务及要求○2 总体控制方案○3控制电路设计● 3.1 控制电路工作原理● 3.2 控制电路设计原理○4倒计时电路设计● 4.1具有同步置数功能的十进制减法计数器● 4.2主干道与支干道倒计时电路设计○5倒计时电路设计● 5.1动态显示工作原理● 5.2动态显示及译码电路设计○6总体电路设计● 6.1总体电路● 6.2电路工作说明○7电路仿真调试●7.1控制电路仿真调试●7.2倒计时电路仿真调试●7.3译码显示电路仿真调试●7.4总体电路仿真调试 , 下载验证○8改进意见及收获体会○参考文献●1设计任务及要求设计一个用于十字路口的交通灯控制器。

能显示十字路口东西、南北两个方向的红、黄、绿的指示状态。

具有倒计时功能。

用两组数码管作为东西和南北方向的倒计时显示,主干道每次放行(绿灯)60秒,支干道每次放行(绿灯)45秒,在每次由绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡。

黄灯每秒闪亮一次。

2总体控制方案设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且均用0表示灭,1表示亮,则交通灯有如下四种输出状态:通过以上观察可发现:当主干道或者支干道的倒计时计数值为01时,控制器将从当前状态转入下一个状态。

因此,计数值01可作为控制器状态转换的条件,同时也可产生同步置数信号,将下一状态的计数初值置入计数器。

3控制电路设计3.1控制电路工作原理:4状态循环实现:主干道和支干道信号灯的实现:采用4位二进制计数器74161实现控制器的四个状态循环。

当倒计时计数值为01时T1=1,作为7161的计数使能信号。

3.2控制电路设计原理:4倒计时电路设计4.1:具有同步置数功能的十进制减法计数器:由具有同步置数功能的十进制减法计数器实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑课程设计
:振华
班级:网124
学号:129074422
一、设计任务要求
数字时钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。

计时采
用24h和12h两种。

当接通电源或数字钟走时出现误差,都需要对数字钟作时、分、秒时间校正。

本次设计的具体要求如下:
1、显示时、分、秒的十进制显示,采用24小时制。

2、校时功能。

3、整点报时。

二、设计思路
1、数字钟的组成原理图
数字式电子钟实际上是一个对标准1Hz 进行计数的计数电路! 秒计数器满60 后向分计数器进位,,分计数器满60 后向时计数器进位, 时计数器按24翻1 规律计数, 计数输出经译码器送LED 显示器,由于计数的起始时间不可能与标准时间一致,故需要在电路上加上一个校时电路。

同时标准的1Hz时间信号必须做到准确、稳定,通常使用石英晶体振荡器电路构成,如下图所示为数字式电子钟的构成原理框图。

时显示器时译码器分显示器秒显示器分译码器
时计数器
秒译码器
时计数器时计数器
校时电路
振荡器分频器
2、数字钟设计方案
为完成上述功能,可以把数字钟系统划分为三部分:时针源(即标准秒钟的产生电路)主体电路,扩展电路。

主体电路EDA 设计又可划分为计时电路、校时电路、译码显示电路3部分。

3、底层电路设计
时针源——晶体振荡器电路给数字式电子钟提供一个频率稳定、准确的32768Hz的方波信号,将32768Hz的高频方波信号经32768次分频后得到1Hz 的方波信号供秒计数器进行计数,实现该分频功能的计数器相当于15 级二进制计数器。

计时电路——时间计数器电路由秒个位、秒十位计数器,分个位、分十位计数及时个位、时十位计数电路构成。

其中,秒个位和秒十位计数器,分个位和分十位计数为六十进制计数器,而根据设计要求时个位和时十位构成的为二十四进制计数器,时间计数单元共有:时计数,分计数和秒计数3部分,根据设计要求时计数单元为一个二十四进制计数器,共输出为两位8421BCD码形式;分计数和秒计数单元为六十进制计数器!,共输出也为两位8421BCD码。

图1和图2 分别给出了60进制计数器和24进制逻辑图。

图一、60进制计数器
图二、24进制计数器
校时电路——当刚接通电源或走时出现误差时都需要对时间进行校正。

对时间的校正是通过截断正常的计数通路,而用频率较高的方波信号加到其需要校正的计数单元的输入端! 这样可以很快使校正的时间调整到标准时间的数值,这时再将选择开关打向正常时就可以准确走时了。

如图3所示为时、分、秒校时的校时电路。

在校时电路中,其实现方法是采用计数脉冲和计数使能来实现校时的。

译码显示电路——为了将计数器输出的8421BCD码显示出来,须用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,这种译码器通常称为七段译码显示驱动器电路,本设计可选器件7447为译码驱动电路。

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。

4、数字钟顶层电路设计
首先按前面的设计方案进行低层模块的设计与编辑仿真,正确无误后,即可将设计的低层模块转化为与之相对应的元件符号,而后我们就可以用这些元件符号来设计数字钟的顶层原理图,如图4所示。

本设计中要仿真的对象为数字钟,
须设定一个1Hz的输入时钟信号和一个校时脉冲SET,模拟的设置开关信号MODE的波形,为了能够看到合适的仿真结果,假定网络时间(Girl Size)为10.0ns,总模拟的时间(END TIME)为3ms。

三、软件仿真
1、60进制计数器的仿真结果如下:
60进制计数器仿真波形图
2、24进制计数器仿真结果如下:
24进制计数器仿真波形图
3、数字钟的顶层电路仿真结果如下:
数字钟的顶层电路波形仿真图
四、讨论
数字时钟基于MAX+ plus II设计, 经过软件仿真并下载到硬件( 电子EDA 实验开发系统) 实现, 结果表明本设计是合理可行的,但是感觉很繁琐,是不是可以考虑一种过程简单一点的呢?通过查阅大量资料发现是可以的。

其另一种设计思想及方法是以语言描述为主, 原理图设计相结合。

但是使用过多可能会导致编译失败。

所以在设计的过程中,如何取舍是一个难题,本人认为对于我这样基础不是很扎实的,采用前者是比较合理的。

五、参考文献
(1)辉宜,数字逻辑中国科学技术大学
(2)廖裕评,陆瑞强,CPLD数字电路设计__使用 MAX+Plus II[M],北京:清华大学
六、心得体会
通过这次课程设计,充分锻炼到我的自主分析与动手能力。

从功能分析到动手实现,整个过程充满了艰辛和快乐,当自己通过不断地尝试与努力,最终克服难题,既锻炼了自主动手能力,又磨练的自己的耐心。

总之,这次课程设计让我收获了很多。

相关文档
最新文档