EDA技术与应用讲义-第3章-原理图输入设计方法-QUARTUS-II版本PPT

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
❖ During netlist extraction, this module checks each design file for problems such as duplicate node names, missing inputs and outputs, and outputs that are tied together.
件电路图设计 5. 综合调试 6. 完成
25
设计的几个问题
❖ 如何组织多个设计文件的系统?,项目的概 念。
❖ 时钟系统如何设计?
❖ 电路的设计功耗
❖ 高速信号的软件和硬件设计
26
The end.
27
以下内容 为 正文的引用,
可不阅读。
28
常用EDA工具软件
❖ EDA软件方面,大体可以分为两类:
, 对于CPLD或者EPC2 ECS1等配置芯片,编程文件扩展名为: “ *.POF “
❖ 配置的文件类型
“ FPGA 对于
芯片,配置文件扩展名为:
*.SOF

24
硬件设计和软件设计的时间协调
1. 软件模块划分,器件的初步信号确定(主要 是根据需要的I/O引脚的数量)
2. 软件设计,硬件外围电路设计和器件选择 3. 软件仿真 4. 仿真完成后,器件信号的重新审核,进行硬
模块划分后,就可以进行 具体设计 了
6
设计输入
一般EDA软件允许3种设计输入:
1. HDL语言 2. 电路图 3. 波形输入
7
图形设计输入的过程
+
+
8
图形设计:图元
9
图形设计:端口
10
如何编写一个新的图形文件?
❖ FILE->NEW出现以下对话窗,选择如下:
11
如何调入元件?
❖ Edit->Insert Symbol 出现下面窗口
1. -- VHDL code position: p83_ex4_11_DFF1 2. ------------------------------------------------------------------------------3. -- LIBARY IEEE; 4. -- USE IEEE.STD_LOGIC_1164.ALL;
OUTPUT_PIN = 235;

.................................................

DEVICE = EPF10K30AQC240-2;
❖ END;
❖ ........................................
20
编译与排错
21
功能仿真和时序仿真
❖ 仿真的概念:
在设计代码下载到芯片前,在EDA软件中对设 计的输 出进行波形仿真。
❖ 常用的2种仿真模式
1. 功能仿真 对设计的逻辑功能进行仿真
2. 时序仿真 对设计的逻辑功能和信号的时间延时进行仿真。
❖ 仿真前还要做的工作
输入信号的建立
Quartus II软件中 关于仿真的原文
一般步骤
1. 电路的模块划分 2. 设计输入 3. 器件和引脚指配 4. 编译与排错 5. 功能仿真和时序仿真 6. 编程与配置,设计代码的芯片运行
5
电路的模块划分
❖ 人工 根据电路功能 进行 模块划分
❖ 合理的模块划ห้องสมุดไป่ตู้ 关系到
1. 电路的性能 2. 实现的难易程度
❖ 根据模块划分和系统功能 确定: PLD芯片型号
如何使用VHDL来设计电路?
❖ VHDL设计电路的的5步曲
1. 语言编码 2. 逻辑综合 3. 功能和时序仿真 4. 器件适配
5.
33
使用MAX+PLUS II软件的设计过程
34
MAX+PLUS II设计过程说明
1. Compiler Netlist Extractor(编译器网表提取器): 通过该过程生成设计项目的网表文件,
硬件设计人员 的工作过程
已经 类似与
软件设计人员,那么
这种模式的好处是?
让我们先看看原来是如何做的->
37
Compiler Netlist Extractor (编译器网表提取器)
❖ The Compiler module that converts each design file in a project (or each cell of an EDIF Input File) into a separate binary CNF. The filename(s) of the CNF(s) are based on the project name. Example
第3章 原理图输入设计方法 Quartus II 版操作
<EDA技术与应用> 课程讲义
上一章
下一章
1
本章内容
1. 何时使用 原理图设计输入 2. 常用文件介绍 3. 设计步骤 4. 元件库 和 Altera 宏的使用 5. 如何将VHDL代码文件生成 图形 符号
2
何时使用 原理图设计输入 ?
1. 符合 传统的 电路设计 习惯 2. 一般只是在 “top-level”(顶层)文件中使用?
29
ALTERA 公司的EDA合作伙伴
30
硬件描述语言:起源
❖ 是电子电路的文本描述。
❖ 最早的发明者:美国国防部,VHDL,1983
❖ 大浪淘沙,为大者二:
VHDL 和 Verilog HDL
❖ 其他的小兄弟:
ABEL、AHDL、System Verilog、System C。
31
一个D触发器的VHDL代码例子
❖ Xilinx 公司
1. ISE 7.0:Xilinx公司集成开发的工具 2. Foundation: Xilinx公司早期开发工具,逐步被ISE取代 3. ISE Webpack: Webpack是xilinx提供的免费开发软件,
功能比ISE少一些,可以从xilinx网站下载
36
有了HDL语言后?
将 自己编写的 符号调入
从 标准库中
调入 12
将符号之间连线
13
调入I/O端口元件符号
14
2类 标准库
1. Megafunctions/LPM 宏模块 功能复杂、参数可设置的模块
2. Primitives 基本图元 简单的、功能固定的逻辑元件,不可调
整参数
15
如何将VHDL设计编程Symbol
14.
BEGIN
15.
IF CLK'EVENT AND (CLK='1') AND ( CLK'LAST_VALUE = '0') THEN
16.
17.
Q <= D;
18.
END IF;
-- 严格的CLK信号上升沿定义
19.
END PROCESS;
20. END ARCHITECTURE bhv;
32
❖ The Database Builder uses the HIF to link the CNFs that describe the project. Based on the HIF data, the Database Builder copies each CNF into the project database. Each CNF is inserted into the database as many times as it is used within the original hierarchical project. The database thus preserves the electrical connectivity of the project.
3
Quartus II常用文件介绍
文件扩展名称
用途
.vhd
VHDL代码源文件
MAX+PLUS II 中的名称
.vhd
.bdf
图形输入源文件
.gdf
.qsf
器件 引脚 与编译配置指 .qsf
配文件
.pof
CPLD,EEPROM 器件 .pof
编程文件
.sof
FPGA器件的SRAM 文件 .sof
配置 4
4. Fitter(适配器) 将电路适配到某个PLD器件中。
5. Timing SNF Extractor(时序SNF文件提取器) 产生用于时序仿真的网表文件
6. Assembler(汇编器) 产生用于器件编程的目标代码
35
其他的HDL综合工具
❖ Altera公司
1. MAX+PLUS II 10.2(已经停止发行,新器件不支持) 2. QUARTUS II 5.0(推荐使用)
❖ The Compiler Netlist Extractor also creates a single HIF that documents the hierarchical connections between design files.
❖ This module contains a built-in EDIF Netlist Reader, Verilog Netlist Reader, VHDL Netlist Reader, and converters that translate ADFs and SMFs for use with MAX+PLUS II.
❖ 返回
38
Database Builder(数据库构建器 ):
❖ The Compiler module that builds a single, fully flattened project database that integrates all the design files in a project hierarchy.
MAX+PLUS II: “ *.acf ” Quartus II: “ *.qsf ”
17
器件和引脚指配的方法
方法有2种 1. 在软件的菜单界
面中指配
2. 修改指配文件 (是文本文件)
18
菜单界面中 指 配
19
修改指配文件
❖ CHIP io_2d_lock
❖ BEGIN

|iVD : INPUT_PIN = 7;
5. ENTITY DFF1 IS
6.
PORT (
CLK
:
7.
D
:
8.
Q
:
9.
);
10. END ENTITY DFF1;
IN IN OUT
代码实体(5-10)
BIT; BIT; BIT
11. ARCHITECTURE bhv OF DFF1 IS 12. BEGIN
代码结构体(11-20)
13.
PROCESS(CLK)
1. VHDL文件编译后,自动生成同名的符号文件 2. 符号文件的扩展名称(*.bsf) 3. 调入过程如下:
16
何为 ? 器件和引脚指配
❖ 器件指配
为设计输入 选择合适的PLD器件型号
❖ 何谓引脚指配
将设计代码(图形)中的端口(PORT) 和 PLD芯片的引脚 (PIN)
对应起来的.
❖ 指配文件
I. Synopsys公司的FPGA Compiler II、 II. Exemplar Logic公司的LeonardoSpectrum、 III. Synplicity公司的Synplify。
❖ 第三方工具软件是对CPLD/FPGA生产厂家开发软件的补 充和优化,如通常认为Max+plus II和Quartus II对 VHDL/Verilog HDL逻辑综合能力不强,如果采用专用的 HDL工具进行逻辑综合,会有效地提高综合质量。
编译过程有2种,作用分别为:
1. 语法编译:只是综合并输出网表
编译设计文件,综合产生门级代码 编译器只运行到综合这步就停止了 编译器只产生估算的延时数值
2. 完全的编译:包括编译,网表输出,综合,配置器件
编译器除了完成以上的步骤,还要将设计配置到ALTERA的器件 中去
编译器根据器件特性产生真正的延时时间和给器件的配置文件

|iHD : INPUT_PIN = 8;

|iDENA :
INPUT_PIN = 6;

|iCLK : INPUT_PIN = 211;

|oCLK : OUTPUT_PIN = 237;

|oVD : OUTPUT_PIN = 234;

|oHD : OUTPUT_PIN = 233;

|oDENA :
2. Database Builder(数据库构建器 ): 用于将所有的设计文件集成到项目数据库中 如果指定端口的实体已被抽取. 则从盘中读取. cnf文件信息就可以了, 因而节省了时间.
3. Logic Synthesizer (逻辑综合器): 选择合适的逻辑化简算法, 去除冗余和无用逻辑, 有效使用器件的逻辑资源.
22
2种 仿真文件
1. 矢量波形文件:
❖ a Vector Waveform File (.vwf)
2. 文本矢量文件
❖ a text-based Vector File (.vec),
23
编程与配置
最后, 如果仿真 也正确 的话, 那我们就可以 将设计代码 配置或者编程 到 芯片 中了
❖ 编程的文件类型
1. PLD器件厂商提供的EDA工具。较著名的如:
I. Altera公司的 Max+plus II和Quartus II、 II. Xilinx公司的Foundation Series、 III. Latice-Vantis公司的ispEXERT System。
2. 第三方专业软件公司提供的EDA工具。常用的有:
相关文档
最新文档