(整理)计算机组成实验五-存储器读写实验
《数字逻辑与计算机组成》实验讲义-实验5
实验5:单周期处理器的控制器设计实验一、实验目的1、理解随机访问存储器RAM和只读存储器ROM的操作原理。
2、理解指令类型与指令格式之间的关系,掌握取指部件、指令解析和立即数扩展器的设计方法。
3、理解每条目标指令的功能和数据通路,掌握单周期处理器的控制器设计方法。
二、实验环境Logisim-ITA V2.16.1.0。
三、实验内容1、利用Logisim中的RAM组件进行数据读写操作实验。
Logisim中RAM的地址位宽最多可设置为24位,数据位宽最多可设置为32位。
在属性窗口的数据接口中有三种不同的工作模式。
若设置为“分离的加载和存储引脚”模式,则有两个数据端口分别连接输入数据和输出数据(如图1所示);否则,使用同一数据端口连接数据总线。
注意:当设置数据位宽为32位时,采用按字编址方式(32位),而不是采用按字节编址方式。
图1 采用分离加载和存储模式的32位数据读取实验图实验要求RAM组件的地址位宽设置为12位,数据接口模式设置为分离的加载和存储引脚模式。
实验过程与验证步骤如下:(1)设置数据位宽为32位,即可访问空间大小为16KB;连接必要的输入输出信号并选择合适的控制信号;从0地址处开始顺序写入以下两个32位的十六进制数据:0x4E4A5543、0x53657200;然后再读出所存储的数据。
(2)设置数据位宽为8位,即可访问空间大小为4KB;将输出数据端口连接到如图2所示的文本终端TTY;从0地址开始顺序写入以下八个字节的十六进制数据:4E4A554353657200;然后按字节为单位读出并输出到文本终端TTY,观察显示的内容。
图2 采用分离加载和存储模式的8位数据读取实验图(3)Logisim中RAM和ROM组件的数据输入还可以采用Logisim十六进制编辑器和直接读取二进制编码文件的方法实现。
把鼠标移到存储器组件上,点击鼠标右键,则弹出菜单框(如图3所示),选中“编辑存储内容”,则打开Logisim十六进制编辑器(如图4所示),可按照存储器设置的数据位宽,直接使用键盘输入数据;输入数据后,可点击保存按钮,把输入的数据保存到数据镜像文件(image)中。
计算机组成原理--实验报告
实验一寄存器实验实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。
实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。
实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。
74HC574 的功能如下:- 1 -实验1:A,W 寄存器实验原理图寄存器A原理图寄存器W 原理图连接线表:- 2 -系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。
在后面实验中实验模式为手动的操作方法不再详述.将55H写入A寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H置控制信号为:按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。
放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。
将66H写入W寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H- 3 -置控制信号为:按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。
放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。
注意观察:1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。
2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。
实验2:R0,R1,R2,R3 寄存器实验连接线表- 4 -将11H、22H、33H、44H写入R0、R1、R2、R3寄存器将二进制开关K23-K16,置数据分别为11H、22H、33H、44H置控制信号为:K11、K10为10,K1、k0分别为00、01、10、11并分别按住STEP 脉冲键,CK 由高变低,这时寄存器R0、R1\R2\R3 的黄色选择指示灯分别亮,放开STEP键,CK由低变高,产生一个上升沿,数据被写入寄存器。
微机原理存储器的读写实验
微机原理存储器的读写实验一、实验目的1.了解存储器的基本原理和工作过程;2.掌握存储器的读写操作;3.通过实验验证存储器的读写正确性和可靠性。
二、实验器材1.存储器电路板;2.存储器读取电路板;3.逻辑分析仪;4.示波器。
三、实验原理1.存储器的组成存储器由多个存储单元组成,每个存储单元可存储一个数据位。
存储器的组成方式可以是并行结构或串行结构。
2.存储器的工作原理存储器的工作过程包括读取和写入两个部分。
读取操作:当控制信号使得读信息有效(RE=1)时,存储器从指定单元中输出数据到数据输出总线。
写入操作:当控制信号使得写信息有效(WE=1)时,数据从数据输入总线写入到指定单元。
3.存储器读写时序存储器读写时序包括地址输入、读写控制信号的生成和数据输入输出等步骤。
四、实验步骤1.连接实验电路将存储器电路板与逻辑分析仪、示波器等设备连接。
2.设置操作模式将存储器电路板上的读写模式设置为读写模式。
3.编写代码并烧录使用程序设计语言编写读写存储器的代码,并将代码烧录到EPROM或其他可编程存储器中。
4.运行实验程序将存储器电路板上的读写控制信号接入示波器或逻辑分析仪,观察读写时序是否正确。
5.验证读写正确性和可靠性通过读取和写入不同地址的数据,检查读取到的数据是否正确,写入后再读取是否一致。
五、实验结果与分析通过观察示波器或逻辑分析仪的输出结果,可以判断存储器的读写控制信号是否正确生成。
同时,通过验证读写数据的正确性,可以评估存储器的可靠性。
六、实验总结1.存储器的读写操作是微机系统的基本操作之一,对于存储器的工作原理和时序要有一定的了解;2.在实验过程中,要确保读写时序和控制信号的正确生成;3.通过验证读取和写入数据的正确性,可以评估存储器的可靠性。
通过完成存储器的读写实验,我们可以更深入地理解存储器的工作原理和操作方式,并通过验证读写数据的正确性,进一步评估存储器的可靠性。
这对于进一步研究和应用微机系统具有重要意义。
杭电计组实验5-存储器设计实验
5’b000007 32’b0000000732’b0000000732'h0055_752332'h0055_7523
(2)答:这些单元的数据已经被改写了。读出数据与写入数据一致。
RAM_B uut (
.clka(clka),
.wea(wea),
.addra(addra),
.dina(dina),
.douta(douta)
);
initial begin
// Initialize Inputs
clka = 0;
wea = 0;
addra = 0;
dina = 0;
// Wait 100 ns for global reset to finish
NET "Clk" LOC = C9;
NET "LED[4]" LOC = M11;
NET "LED[3]" LOC = V15;
NET "LED[2]" LOC = U15;
NET "LED[1]" LOC = V16;
NET "LED[0]" LOC = U16;
NET "Mem_Addr[7]" LOC = U8;
output reg [7:0]LED;
wire [31:0]M_R_Data;//存在存储器里的32位读出数据
reg [31:0]M_W_Data;//寄存在暂存器的32位写入数据
RAM_B test_ram (
存储器读写实验
实验三 8255A 并 行 口 实 验
一、实验目的 1、掌握8255A和微机接口方法。 2、掌握8255A的工作方式和编程原理。 二、实验原理 1、 如实验原理图,PC口8位接8个开关K1~ K8,PB口8位接8个发 光二极管,从PC口读入8位开关量送PB口显示。拨动K1~ K8,PB口 上接的8个发光二极管L1~ L8对应显示K1~ K8的状态。
实验七 A/D转换实验
一、实验目的 1、 加深理解逐次逼近法模数转换器的特征和工作原理。 2、掌握ADC0809的接口方法以及A/D输入程序的设计和调试方法。 二、实验原理 1、本实验采用 ADC0809 做 A/D 转换实验。ADC0809 是一种8路模拟输入 、8位数字输出的逐次逼近法A/D器件,转换时间约100us,转换精度为 ±1/512,适用于多路数据采集系统。ADC0809片内有三态输出的数据锁 存器,故可以与8088微机总线直接接口。 图中ADC0809的CLK信号接CLK=2.385MHZ,基准电压Vref(+)接Vcc。一 般在实际应用系统中应该接精确+5V,以提高转换精度,ADC0809片选 信号0809CS和/IOW、/IOR经逻辑组合后,去控制ADC0809的ALE、 START、ENABLE信号。ADC0809的转换结束信号EOC未接, 如果以中 断方式实现数据采集,需将EOC信号线接至中断控制器8259A的中断源 输入通道。本实验以延时方式等待A/D转换结束,ADC0809的通道号选 择线ADD-A、ADD-B、ADD-C 接系统数据线的低3位,因此 ADC0809的8个通道值地址分别为00H、01H、02H、03H、04H、05H 、 06H、07H。
计算机组成原理存储器读写和总线控制实验实验报告
信息与管理科学学院计算机科学与技术实验报告课程名称:计算机组成原理实验名称:存储器读写和总线控制实验姓名:班级:指导教师:学号:实验室:组成原理实验室日期: 2013-11-22一、实验目的1、掌握半导体静态随机存储器RAM的特性和使用方法。
2、掌握地址和数据在计算机总线的传送关系。
3、了解运算器和存储器如何协同工作。
二、实验环境EL-JY-II型计算机组成原理实验系统一套,排线若干。
三、实验内容学习静态RAM的存储方式,往RAM的任意地址里存放数据,然后读出并检查结果是否正确。
四、实验操作过程开关控制操作方式实验注:为了避免总线冲突,首先将控制开关电路的所有开关拨到输出高电平“1”状态,所有对应的指示灯亮。
本实验中所有控制开关拨动,相应指示灯亮代表高电平“1”,指示灯灭代表低电平“0”。
连线时应注意:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。
1、按图3-1接线图接线:图3-1 实验三开关实验接线2、拨动清零开关CLR,使其指示灯显示状态为亮—灭—亮。
3、往存储器写数据:以往存储器的(FF ) 地址单元写入数据“AABB ”为例,操作过程如下:4、按上述步骤按表3-2所列地址写入相应的数据表3-25、从存储器里读数据:以从存储器的(FF ) 地址单元读出数据“AABB ”为例,操作过程如下:6、按上述步骤读出表3-2数据,验证其正确性。
五、实验结果及结论通过按照实验的要求以及具体步骤,对数据进行了严格的检验,结果是正确的,具体数据如图所示:六、心得体会通过本次试验掌握半导体静态随机存储器RAM的特性和使用方法,掌握地址和数据在计算机总线的传送关系,了解运算器和存储器如何协同工作。
加强了对课本教材的理解,增加了自己的动手实践能力,为以后的学习做了很好的铺垫,通过与队友的通力合作,我更深刻的体会到了团队力量的重要性。
七、指导教师评议成绩:(百分制)指导教师签名:。
存贮器读写实验
Hale Waihona Puke 8088系统硬件安装
• 1、接交流电源线。 • 2、将RS-232通信电缆线的9 芯 D 型插座一头插入实验
系统的9 芯 D 型插座J2上,另一头接入主机 COM1~ COM4 的任一插座上。 选择 COM1、COM2、COM3 或 COM4 的原则是:该通信口必须能正常工作, 且 与 通信电缆提供的插头匹配。
RAM(2000H~23FFH)写数据55AAH 3.修改程序,用循环指令将2000H~23FFH的内容传送到
3000H~33FFH中 4.提交实验报告
DVCC-8086JHN实验系统整体原理框图
以Inte18088CPU 为核心部件,并 以最小方式工作。
由8284芯片给 CPU提供时钟
和复位信号
通过总线收发器和地址锁存 器对系统中的数据信息和地
址信息进行缓冲或锁存
通过总线插座将信号引出, 为各实验提供必要的信号
27512EPROM 里面放的是系
DVCC-8086JHN 通用微机原理及接口实验系统
DVCC-8086JHN 性能特点
• 1、用8088CPU 为主 CPU,并以最小工作方式构成系统 。 • 2、 系统以二片 62256 静态 RAM 构成系统的 64K 基本内存, 地
址范围为 00000H~0FFFFH。 另配一片 32K EPROM 存放系统程 序和实验程序。 • 3、自带键盘显示器,能单机独立运行,为实验程序的调试带 来方 便。 • 4、备有通用外围电路,包括逻辑电平开关电路、发光二极管显示 电路、 时钟电路、单脉冲 发生电路等。 • 5、 提供各种微机常用 I/O 接口芯片:包括定时/计数器接口芯片 (8253A), 并行接口芯片 (8255A),A/D 转换芯片(0809),D/A 转换 芯片( 0832) , 中断控制器接口芯片(8259A),键盘显 示接口芯片 (8279A),串行通信接口芯片(8251A)等。 • 6、配备中文 WINDOWS 9x/2000/xp界面调试软件及实验演示软件 。 可以单步、断点、连续等方式调试运行各实验程序 。
储存器实验报告
一、实验目的1. 了解储存器的基本概念和分类。
2. 掌握储存器的读写原理和操作方法。
3. 学会使用常用储存器芯片,如RAM、ROM等。
4. 熟悉储存器的扩展方法,如字扩展、位扩展等。
二、实验仪器与设备1. 实验台2. 信号发生器3. 数字示波器4. 静态随机存储器(RAM)芯片5. 只读存储器(ROM)芯片6. 译码器7. 74LS系列集成电路芯片8. 连接线三、实验原理1. 储存器的基本概念:储存器是计算机系统中用于存放数据和指令的设备,分为内存储器和外存储器。
内存储器包括RAM和ROM,外存储器包括硬盘、光盘等。
2. 储存器的读写原理:储存器的读写操作主要依靠控制电路来实现。
控制电路根据地址信号选择相应的存储单元,并根据读写信号决定是读取数据还是写入数据。
3. 常用储存器芯片:(1)RAM:随机存取存储器,具有读写速度快、存储容量大、价格低等特点。
RAM 分为静态RAM(SRAM)和动态RAM(DRAM)两种类型。
(2)ROM:只读存储器,只能读取数据,不能写入数据。
ROM分为掩模ROM、可编程ROM(PROM)、可擦写可编程ROM(EPROM)和闪存(Flash)等类型。
四、实验步骤1. 储存器读写原理实验:(1)搭建实验电路,包括RAM芯片、地址译码器、控制电路等。
(2)使用信号发生器产生地址信号、读写信号和控制信号。
(3)观察数字示波器上的波形,分析读写操作过程。
2. 储存器扩展实验:(1)字扩展:使用多个RAM芯片扩展存储容量。
将多个RAM芯片的地址线和控制线连接在一起,数据线分别连接。
(2)位扩展:使用译码器将地址信号转换为片选信号,控制多个RAM芯片的读写操作。
将译码器的输出端连接到RAM芯片的片选端,地址信号连接到译码器的输入端。
3. 基于AT89C51的RAM扩展实验:(1)搭建实验电路,包括AT89C51单片机、RAM芯片、译码器等。
(2)编写程序,设置RAM芯片的地址、读写信号和控制信号。
计算机组成原理存储器实验报告
计算机组成原理存储器实验报告一、实验目的本实验旨在通过实践了解存储器的基本原理和实现方式,掌握存储器的读写操作。
二、实验原理存储器是计算机中用于存储数据和程序的设备,其按照不同的存取方式可分为随机存储器(RAM)和只读存储器(ROM)。
其中RAM是一种易失性存储器,其存储的数据会随着电源关闭而丢失;而ROM则是一种非易失性存储器,其存储的数据在电源关闭后仍能保持不变。
本实验使用的是一个8位RAM,其具有256个存储单元,每个存储单元可以存储8位数据。
RAM可以进行读写操作,读操作是将存储单元中的数据读取到CPU中,写操作是将CPU中的数据写入到存储单元中。
存储单元的地址是由地址线来控制的,本实验中使用的是8位地址线,因此可以寻址256个存储单元。
三、实验仪器本实验使用的主要仪器有:存储器板、八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等。
四、实验过程1. 准备工作:将存储器板与开发板进行连接,并将八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等连接到存储器板上。
2. 设置地址:使用地址选择开关来设置需要读写的存储单元的地址。
3. 写操作:将需要存储的数据通过八位开关输入到CPU中,然后将CPU中的数据通过写信号写入到存储单元中。
4. 读操作:将需要读取的存储单元的地址通过地址选择开关设置好,然后通过读信号将存储单元中的数据读取到CPU中。
5. 显示操作:使用八位数码管或八位LED灯来显示读取到的数据或写入的数据。
6. 重复上述操作,进行多次读写操作,观察存储器的读写效果和数据变化情况。
五、实验结果通过本次实验,我们成功地进行了存储器的读写操作,并观察到了存储器中数据的变化情况。
在实验过程中,我们发现存储器的读写速度非常快,可以满足计算机的高速运算需求。
同时,存储器的容量也非常大,可以存储大量的数据和程序,为计算机提供了强大的计算和存储能力。
六、实验总结本次实验通过实践掌握了存储器的基本原理和实现方式,了解了存储器的读写操作。
实验五 扩展存储器读写实验
实验五扩展存储器读写实验(开发板)一、实验要求1.编制简单程序,对开发板上提供的外部存贮器(6264)进行读写操作;2.将#0H~#0FFH的数据(若到0FFH后,再从0开始,并不断重复)逐个存到6264中的0000H开始的地址(若到1FFFH后,再从0000H开始),再将这个数从6264中读取出来,比较两者是否一致,若一致则并在P1口对应的LED上以二进制方式显示,若不一致则蜂鸣器报警,并停止操作;3.用P3.4控制蜂鸣器;4.画出STC89C51实现上述功能的完整电路图,包括单片机电源、复位电路、晶振电路和控制电路。
5.完成全部程序和电路调试工作。
二、实验目的1.掌握A T89C51单片机的片外存储器扩展电路设计;2.学习总线操作方法。
3.学习外部数据存储器的读写方法;三、设计提示1. 以P0口线作地址/数据总线,由于P0口线既作地址线,又作数据线,因此需要加一个8位锁存器SN74LS573用于锁存低8位地址。
2. 因6264存储芯片只能扩展到8K,这样只需P2口线的P2.0~P2.4。
与P0口组成13位地址总线,使单片机系统的寻址范围达到8K。
3. 除了地址线和数据线之外,在扩展系统中还需要一些控制信号线,以构成扩展系统的控制总线,6264的OE信号与单片机的RD信号连接,6264的WE信号与单片机的WR 信号连接。
此外,6264的CS2(即CS)直接通过1K电阻与VCC连接,6264的CS1(即CE)单片机的P2.5连接,因此6264的地址范围是0000H~1FFFH。
4.使用MOVX外部数据存储器操作指令5. 从存储器读回的数据取反后再送P1口即可。
四、程序设计1.6264芯片写程序设计根据时序图:图1 写6264时序图2.6264芯片读程序设计根据时序图:图2 读6264时序图3.参考流程框图如下图5 流程图五、主要元件。
计算机组成原理存储器实验报告
计算机组成原理存储器实验报告一、实验目的本次实验的目的是通过实际操作,了解存储器的组成和工作原理,掌握存储器的读写操作。
二、实验原理存储器是计算机中的重要组成部分,用于存储程序和数据。
存储器按照存储介质的不同可以分为内存和外存,按照存储方式的不同可以分为随机存储器(RAM)和只读存储器(ROM)等。
本次实验使用的是随机存储器,随机存储器是一种易失性存储器,数据在断电后会丢失。
随机存储器按照存储单元的位数可以分为8位、16位、32位等,按照存储单元的数量可以分为256×8、512×16、1024×32等。
存储器的读写操作是通过地址总线、数据总线和控制总线来完成的。
地址总线用于传输存储单元的地址,数据总线用于传输数据,控制总线用于传输控制信号。
三、实验器材1. 存储器芯片:AT24C022. 单片机:STC89C523. 电源、示波器、万用表等四、实验步骤1. 连接电路将AT24C02存储器芯片和STC89C52单片机按照电路图连接好,接上电源。
2. 编写程序编写程序,实现对AT24C02存储器的读写操作。
程序中需要设置存储器的地址和数据,以及读写操作的控制信号。
3. 烧录程序将编写好的程序烧录到STC89C52单片机中。
4. 运行程序运行程序,观察存储器的读写操作是否正确。
五、实验结果经过实验,我们成功地实现了对AT24C02存储器的读写操作。
在程序中设置了存储器的地址和数据,通过控制信号实现了读写操作。
在读操作中,我们可以看到存储器中的数据被正确地读出;在写操作中,我们可以看到存储器中的数据被正确地写入。
六、实验总结通过本次实验,我们深入了解了存储器的组成和工作原理,掌握了存储器的读写操作。
同时,我们也学会了如何使用单片机来控制存储器的读写操作。
这对于我们深入学习计算机组成原理和嵌入式系统开发都具有重要的意义。
实验五扩展存储器读写实验
实验五扩展存储器读写实验(开发板)一、实验要求1.编制简单程序,对开发板上提供的外部存贮器(6264)进行读写操作;2.将#0H~#0FFH的数据(若到0FFH后,再从0开始,并不断重复)逐个存到6264中的0000H开始的地址(若到1FFFH后,再从0000H开始),再将这个数从6264中读取出来,比较两者是否一致,若一致则并在P1口对应的LED上以二进制方式显示,若不一致则蜂鸣器报警,并停止操作;3.用P3.4控制蜂鸣器;4.画出STC89C51实现上述功能的完整电路图,包括单片机电源、复位电路、晶振电路和控制电路。
5.完成全部程序和电路调试工作。
二、实验目的1.掌握AT89C51单片机的片外存储器扩展电路设计;2.学习总线操作方法。
3.学习外部数据存储器的读写方法;三、设计提示1. 以P0口线作地址/数据总线,由于P0口线既作地址线,又作数据线,因此需要加一个8位锁存器SN74LS573用于锁存低8位地址。
2. 因6264存储芯片只能扩展到8K,这样只需P2口线的P2.0~P2.4。
与P0口组成13位地址总线,使单片机系统的寻址范围达到8K。
3. 除了地址线和数据线之外,在扩展系统中还需要一些控制信号线,以构成扩展系统的控制总线,6264的OE信号与单片机的RD信号连接,6264的WE信号与单片机的WR 信号连接。
此外,6264的CS2(即CS)直接通过1K电阻与VCC连接,6264的CS1(即CE)单片机的P2.5连接,因此6264的地址范围是0000H~1FFFH。
4.使用MOVX外部数据存储器操作指令5. 从存储器读回的数据取反后再送P1口即可。
四、程序设计1.6264芯片写程序设计根据时序图:图1 写6264时序图2.6264芯片读程序设计根据时序图:图2 读6264时序图3.参考流程框图如下图5 流程图。
计算机组成原理实验报告
1. 寄存器五、实验总结按照实验要求进行连接和操作,对通用寄存器组进行了数据的写入和读出,两组数据完全对照,得到了预期效果,说明了存入数据的正确性,在整个过程中也对寄存器组的构成和硬件电路有了更深层次的理解。
2. 运算器五、实验总结基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。
最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的3。
存储器五、实验总结按照实验要求连接器材设备元件,按照给定步骤进行实验操作.通过向静态RAM中写入数据并读出数据,在INPUT单元输入数并存入地址寄存器,再向相应的地址单元存入数,验证读出数据时,只需再INPUT单元输入想要读出单元的地址,再通过片选端CE读出存储单元内的数据,其中We=0是控制写端,WE=1控制读,CE低电平有效。
实验过程遇到一些问题,对实验内容不是很熟,有待提高。
4. CPU与简单模型机设计实验一、实验目的(1) 掌握一个简单CPU的组成原理.(2)在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。
(3)为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念.二、实验设备PC机一台,TD—CMA实验系统一套。
三、实验原理本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。
CPU 由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图5-1—1 所示。
这个CPU 在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU 必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。
计算机组成原理存储器读写和总线控制实验实验报告
千里之行,始于足下。
计算机组成原理存储器读写和总线控制实验实验报告计算机组成原理存储器读写和总线控制实验实验报告摘要:本实验主要通过使用计算机系统的存储器读写和总线控制实验来深入了解计算机组成原理中存储器的工作原理和总线控制的相关知识。
实验过程中,我们通过搭建实验平台、编写程序,并通过数据传输和总线控制,实现了存储器的数据读写功能。
通过实际操作和观察实验结果,对存储器读写和总线控制有了更深刻的理解。
1. 引言计算机组成原理是计算机科学与技术专业的重要课程之一,它涵盖了计算机硬件的各个方面,包括处理器、存储器、总线等。
存储器是计算机中储存数据的地方,而总线则负责处理信息传输。
了解存储器读写和总线控制的原理对于理解计算机工作方式至关重要。
2. 实验目的本实验的主要目的是通过实际操作了解存储器读写和总线控制的原理,并掌握相应的实验技能。
具体来说,我们要搭建实验平台、编写程序,并通过数据传输和总线控制,实现存储器的数据读写功能。
3. 实验内容第1页/共3页锲而不舍,金石可镂。
3.1 实验平台搭建首先,我们需要搭建实验平台。
根据实验要求,我们使用了一个基于Xilinx FPGA的开发板,并连接上需要的外设设备。
3.2 编写程序接下来,我们需要编写程序,以完成存储器读写和总线控制的功能。
我们使用了Verilog语言,通过编写相应的模块和逻辑电路,实现了存储器的数据读写。
3.3 数据传输和总线控制在编写程序后,我们开始进行数据传输和总线控制。
通过向存储器发送读写指令,并传输相应的数据,我们能够实现存储器数据的读取和写入。
同时,通过总线的控制,我们能够实现数据在各个设备之间的传输。
4. 实验步骤1. 搭建实验平台;2. 编写程序;3. 数据传输和总线控制。
5. 实验结果与分析在实验过程中,我们成功搭建了实验平台,并完成了程序的编写。
通过数据传输和总线控制,我们能够准确读取和写入存储器中的数据。
通过观察实验结果,我们发现存储器读写和总线控制的效果良好,能够满足我们的需求。
存储器读写实验报告
存储器读写实验报告一、实验目的本次实验的主要目的是深入了解存储器的读写原理和操作过程,通过实际操作掌握存储器的读写方法,以及观察和分析存储器读写过程中的数据变化和相关特性。
二、实验原理存储器是计算机系统中用于存储数据和程序的重要组件。
在本次实验中,我们所涉及的存储器类型为随机存取存储器(RAM)。
RAM 具有可读可写的特性,其存储单元的地址和存储的数据之间存在着一一对应的关系。
当进行写操作时,将数据通过数据总线发送到指定的存储单元地址,并通过控制信号将数据写入该地址的存储单元中。
而在进行读操作时,根据给定的地址,通过控制信号从相应的存储单元中读取数据,并将其通过数据总线传输到外部设备。
三、实验设备与环境1、实验设备计算机一台存储器读写实验箱一套2、实验环境操作系统:Windows 10相关实验软件四、实验步骤1、连接实验设备将存储器读写实验箱与计算机正确连接,确保电源接通,各接口连接稳定。
2、打开实验软件在计算机上启动专门用于存储器读写实验的软件,进入实验操作界面。
3、设置存储器地址在软件界面中输入要进行读写操作的存储器地址。
4、进行写操作输入要写入的数据。
点击“写”按钮,将数据写入指定的存储器地址。
5、进行读操作输入之前写入数据的存储器地址。
点击“读”按钮,从该地址读取数据,并在软件界面中显示读取到的数据。
6、重复上述步骤,对不同的存储器地址进行读写操作,观察和记录数据的变化。
五、实验结果与分析1、实验结果记录在实验过程中,详细记录每次读写操作的存储器地址、写入的数据和读取到的数据。
|存储器地址|写入数据|读取数据||||||0x0000|0x55|0x55||0x0001|0xAA|0xAA||0x0002|0x12|0x12|||||2、结果分析通过对实验结果的分析,我们可以得出以下结论:(1)写入的数据能够准确无误地被存储在指定的存储器地址中,并且在进行读操作时能够正确地读取出来,这表明存储器的读写功能正常。
《计算机组成原理》存储器读写实验报告
《计算机组成原理》实验报告实验名称: 存储器读写实验班级:学号: 姓名:一、实验目的1、掌握存储器的工作特征2、熟悉静态存储器的操作过程,验证存储器的读取方法二、实验设备1、YY—Z02计算机组成原理实验仪一台。
2、排线若干。
3、PC微机一台。
三、实验原理1、存储器就是计算机的主要部件,用来保存程序与数据。
从工作方式上分类,其可分为易失性与非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性存储器中的数据在关电后不会丢失。
易失性存储器又可分为动态存储器与静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数据;静态存储器只要不断电,信息就是不会丢失的。
2、静态存储器芯片6116的逻辑功能:3、存储器实验单元电路:存储器实验单元电路控制信号逻辑功能表:4、存储器实验电路:存储器读写实验需三部分电路共同完成:存储器单元、地址寄存器单元与输入、输出单元。
存储器单元以6116芯片为中心构成,地址寄存器单元主要由一片74LS273组成,控制信号B-AR的作用就是把总线上的数据送人地址寄存器,向存储器单元电路提供地址信息,输入、输出单元作用与以前相同。
四、实验结果记录(1)连线准备1、连接输入、输出实验的全部连线。
2、按实验逻辑原理图连接M-W、M-R两根信号低电平有效信号线。
3、连接A7—A0 8根地址线。
4、连接B-AR正脉冲有效信号线。
(2)记录结果(包含采集结果前的动作)地址写入数据读出数据结果说明01H 数据的写入与读取02H 数据的写入与读取03H 数据的写入与读取04H 数据的写入与读取05H 数据的写入与读取25H 不写存储器一个随机地址36H 数据的写入与读取0A0H 写总线悬空时的数据总线悬空时表示的数据就是FFH,即写入的数据就是11111111,所以读出结果为11111111五、实验总结与心得体会(1)通过这次实验我更加详细的了解了存储器的读写时怎么样的一个过程;(2)这个实验讲究一个配合,如果单个人操作极易出现错误,特别就是不了解实验过程与实验原理的情况下。
存储器读写实验
存储器读写实验(总5页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--《计算机组成原理》实验报告学院:专业:班级学号:学生姓名:实验日期:指导老师:成绩评定:五邑大学计算机信息学院计算机组成原理实验室实验三一、实验名称:存储器读写实验二、实验目的:1、牚握半导体静态随机存储器RAM的特性和使用方法;2、掌握地址和数据在计算机总线的传递关系。
3、了解运算器和存储器如何协同工作;三、实验内容:1、学习静态RAM的存储方式,往RAM的任意地址里存放数据,然后读出并检查结果是否正确。
2、组成计算机数据通路,实现计算机的运算并存储功能。
四、实验设备:EL-JY-II8型计算机组成原理实验系统一套,排线若干。
五、实验步骤:1、Ⅰ、单片机键盘操作方式实验2、注:在进行单片机键盘控制实验时,必须把K4开关置于“OFF”状态,否则系统处于自锁状态,无法进行实验。
3、实验连线:4、实验连线图如图3-4所示。
5、连线时应按如下方法:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。
(注意:F3只用一个排线插头孔)图3-4 实验三键盘实验接线图 2.写数据:6、 拨动清零开关CLR ,使其指示灯显示状态为亮—灭—亮。
7、在监控指示灯滚动显示【CLASS SELECt 】时按【实验选择】键,显示【ES--_ _ 】输入03或3,按【确认】键,监控指示灯显示为【ES03】,表示准备进入实验三程序,也可按【取消】键来取消上一步操作,重新输入。
再按【确认】键,进入实验三程序。
8、监控指示灯显示为【CtL= - -】,输入1,表示准备对RAM 进行写数据,在输入过程中,可按【取消】键进行输入修改,按 【确认】键。
9、监控指示灯显示【Addr- -】,提示输入2位16进制数地址,输入“00”按【确认】键,监控指示灯显示【dAtA 】,提示输入写入存储器该地址的数据(4位16进制数),输入“3344”按【确认】键,监控指示灯显示【PULSE 】,提示输入单步,按【单步】键,完成对RAM 一条数据的输入,数据总线显示灯(绿色)显示“000”,即数据“3344”,地址显示灯显示“0000 0000”,即地址“00”。
计算机组成原理实验报告
实验1 通用寄存器实验一、实验目的1.熟悉通用寄存器的数据通路。
2.了解通用寄存器的构成和运用。
二、实验要求掌握通用寄存器R3~R0的读写操作。
三、实验原理实验中所用的通用寄存器数据通路如下图所示。
由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。
图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。
RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。
DRCK信号为寄存器组打入脉冲,上升沿有效。
准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。
图2-3-3 通用寄存器数据通路四、实验内容1. 实验连线 K23~K0置“1”,灭M23~M0控位显示灯。
然后按下表要求“搭接”部件控制电路。
连线 信号孔 接入孔 作用有效电平 1 DRCK CLOCK单元手动实验状态的时钟来源上升沿打入 2 X2 K10(M10) 源部件译码输入端X2 三八译码 八中选一 低电平有效3 X1 K9(M9) 源部件译码输入端X14 X0 K8(M8) 源部件译码输入端X05 XP K7(M7)源部件奇偶标志:0=偶寻址,1=奇寻址6 SI K20(M20) 源寄存器地址:0=CX ,1=DX7 RWR K18(M18) 通用寄存器写使能低电平有效8 DI K17(M17) 目标寄存器地址:0=CX ,1=DX9OPK16(M16) 目标部件奇偶标志:0=偶寻址,1=奇寻址2. 寄存器的读写操作 ① 目的通路当RWR=0时,由DI 、OP 编码产生目的寄存器地址,详见下表。
通用寄存器“手动/搭接”目的编码目标使能通用寄存器目的编址功能说明 RW(K18) DI(K17) OP(K16) T0 0 0↑ R0写 0 0 1 ↑ R1写 0 1 0 ↑ R2写 011↑R3写② 通用寄存器的写入通过“I/O 输入输出单元”向R0、R1寄存器分别置数11h 、22h ,操作步骤如下:通过“I/O 输入输出单元”向R2、R3寄存器分别置数33h 、44h ,操作步骤如下:③ 源通路当X2~X0=001时,由SI 、XP 编码产生源寄存器,详见下表。
计算机组成原理--实验报告
实验一寄存器实验实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。
实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。
实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。
74HC574 的功能如下:--实验1:A,W 寄存器实验原理图寄存器A原理图寄存器W 原理图连接线表:--系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。
在后面实验中实验模式为手动的操作方法不再详述.将55H写入A寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H置控制信号为:按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。
放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。
将66H写入W寄存器--二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H置控制信号为:按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。
放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。
注意观察:1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。
2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。
实验2:R0,R1,R2,R3 寄存器实验连接线表--将11H、22H、33H、44H写入R0、R1、R2、R3寄存器将二进制开关K23-K16,置数据分别为11H、22H、33H、44H置控制信号为:K11、K10为10,K1、k0分别为00、01、10、11并分别按住STEP 脉冲键,CK 由高变低,这时寄存器R0、R1\R2\R3 的黄色选择指示灯分别亮,放开STEP键,CK由低变高,产生一个上升沿,数据被写入寄存器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验五存储器读写实验
一、实验目的
1.掌握存储器的工作特性。
2.数学静态存储器的操作过程,验证存储器的读写方法。
二、实验原理
存储器是计算机的主要部件,用来保存程序和数据。
从工作方式上分类,存储器可分成易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数
据;静态存储器只要不断电,信息是不会丢失的。
为简单起见,计算机组成实验用的是容量为2K的镜头存储器6116。
1.静态存储器芯片6116的逻辑功能
6116是一种数据宽度为8位(8个二进制位),容量为2048字节的态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。
6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态、输
出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态;
11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线
片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;为写入控制信号,低电平时,把数据线上
的信息存入地址线A10-A0指示的存储单元中;为输出使能控制信
号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数
据线上。
芯片控制信号逻辑功能见表2-9。
表2-9 6116芯片控制信号逻辑功能表
图2-7 存储器部件电路图
2.
3.存储器实验单元电力路
因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的三根地址线A11-A8接地也没有多片联用问题,片选信号接地使芯片总是处于被选中状态。
芯片的WE和信号分别连接实验台的存储器写信号和存储器读写信号,存储器实验单元逻辑电路如图2-7所示。
这种简化了控制过程的实验电路可方便实验进行,存储器实验单元电路控制信号逻辑功能见表2-10。
表2-10 存储器实验单元电路控制信号逻辑功能表
4.存储器实验电路
存储器读/写实验需呀三部分电路共同完成:存储器单元(MEM
UNIT)、地址寄存器单元(ADDRESS UNIT)和输入、输出单元
(INPUT/OUTPUT UNIT)。
存储器单元以6116总线上的数据送入地址
寄存器,向存储器单元电路提供地址信息,输入、输出单元作用与以
前相同。
存储器实验的逻辑原理如图2-8所示。
图2-8 存储器实验电路逻辑图
三、实验过程
1.连线
(1)连接实验一(输入、输出实验)的全部连线。
(2)按实验逻辑原理图连接、两根信号低电平有效信号线。
(3)连接A7-A0 8根地址线。
(4)连接B-AR正脉冲有效信号线。
2.顺序写入存储单元实验操作过程
(1)把B-AR控制开关拨到0(因此信号是正脉冲有效),把其他控制开关全部拨到1,使全部控制信号都处于无效状态。
(2)在输入数据开关上拨一个地址数据(如00000001,即16进制01H),拨下IO−R开关,把地址数据送总线。
(3)拨动一下B-AR开关,实现“0-1-0”,产生一个正脉冲,把地址数据送地址寄存器(AR)保存。
(4)在输入数据开关上拨一个实验数据(如10000000,即16进制数80H),拨下控制开关,把实验数据送到总线。
(5)拨动控制开关,即实现“0-1-0”,产生一个负脉冲,把实验数据存入存储器的01H号单元。
(6)按表2-11所示的地址数据和实验数据,重复上面(1)(2)(3)(4)(5)5个步骤,顺序在存储器单元中存放不同的实验数据。
3.顺序读出存储单元实验操作过程
(1)在输入数据开关上拨一个地址数据(如00000001,即16进制数01H),拨下开关把地址数据送地址总线。
(2)拨动一下B-AR开关,即实现“0-1-0”,产生一个正脉冲,把地址数据送地址寄存器(AR)保存。
(3)把IO−R开关拨上,切断输入开关与总线的联系。
(4)拨下控制开关,把实验数据从存储器的01H好单元读出送总线,验证实验数据是否与表2-11中的内容相符合。
(5)拨动开关,即实现“0-1-0”,产生一个负脉冲,把从存储器读出的实验数据从总线送出显示电路L7-L0。
(6)拨上控制开关,使存储器处于保持状态。
(7)重复上面的(1)-(6)6个步骤,按顺序从地址01H-05H的存储器单元中读出的实验数据送输出显示电路L7-L0,验证读出数据与表
2-11中的内容是否相符。
4.随机读出存储器单元实验操作过程
重复上面(1)-(6)6个步骤,分别从地址36H,25H,03H 3个不连续的存储器单元中读出数据,验证实验数据是否与表2-11中的内容
相符合,注意地址25H这个存储单元中没有写入过实验数据,读出的内
容应是随机值。
四、结果与总结
实验结果记录:
通过此次实验我初步学习了解了存储器的工作特性,也熟悉了静态存储器的操作过程,进一步也验证了存储器的读写方法。
之前只是在书本上学习存储器读写的操作,现在通过操作自己亲身体会了这个过程,使我们更加理解了存储器读写过程。