倒计时计时器电路设计
倒计时电路课程设计
![倒计时电路课程设计](https://img.taocdn.com/s3/m/3fa1e1a84793daef5ef7ba0d4a7302768e996f37.png)
倒计时电路 课程设计一、课程目标知识目标:1. 让学生掌握倒计时电路的基本概念和原理,理解其工作流程。
2. 学会使用基本的电子元件,如电阻、电容、二极管、三极管等,并了解它们在倒计时电路中的作用。
3. 掌握倒计时电路的电路图绘制及电路连接方法。
技能目标:1. 培养学生动手操作能力,能够独立完成倒计时电路的搭建和调试。
2. 提高学生的问题分析能力,能够诊断并解决倒计时电路中可能出现的问题。
3. 培养学生的团队协作能力,学会在小组内分工合作,共同完成倒计时电路的制作。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学奥秘的热情。
2. 培养学生勇于尝试、不断探索的精神,增强克服困难的信心。
3. 培养学生遵守实验室规则,养成良好的实验操作习惯,树立安全意识。
分析课程性质、学生特点和教学要求:1. 课程性质:本课程属于电子技术领域,具有较强的实践性和应用性。
2. 学生特点:学生为初中生,对电子技术有一定的好奇心,动手能力较强,但理论知识相对薄弱。
3. 教学要求:注重理论知识与实践操作相结合,以学生为主体,教师为主导,引导学生主动探索,培养实际操作能力。
二、教学内容1. 理论知识:- 了解倒计时电路的定义、原理和应用场景。
- 学习基本的电子元件,如电阻、电容、二极管、三极管等的工作原理和特性。
- 掌握倒计时电路的电路图绘制方法。
2. 实践操作:- 学习倒计时电路的搭建方法,包括元件的选型、电路连接和调试。
- 掌握倒计时电路的编程方法,实现具体的倒计时功能。
3. 教学大纲:- 第一章:倒计时电路概述,介绍倒计时电路的定义、原理和应用。
- 第二章:基本电子元件,讲解电阻、电容、二极管、三极管等元件的作用和特性。
- 第三章:电路图绘制,学习如何绘制倒计时电路的电路图。
- 第四章:倒计时电路的搭建与调试,进行实践操作,学会搭建和调试倒计时电路。
- 第五章:编程与功能实现,学习编程方法,实现倒计时电路的具体功能。
30秒倒数计时器设计课件
![30秒倒数计时器设计课件](https://img.taocdn.com/s3/m/dfd3fb0ced630b1c59eeb55f.png)
一.实验目的理解倒计时器工作原理,实现以中小规模集成电路设计计时器的方法,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
它是由时钟脉冲产生电路、计数电路、译码驱动及显示电路、报时电路及电源电路组成。
时钟脉冲采用555定时器构成多谐振荡电路产生,通过EDA软件Multisim10绘制了电子电路仿真原理图,并进行仿真,同时用万能板焊接制作了硬件实现电路。
二.系统原理框图图1系统原理框图一.1秒脉冲发生器:秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。
实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555点哭构成等。
不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。
本实验中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。
一般情况下,要做出一个精度比较高的频率很低的振荡器有一定的难度工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低精度比较高的脉冲信号发生器,其精度取决于振荡器的精度和分级项数。
2.30秒减法计数器: 30秒减法计数器采用74LS192设计,74LS192是十进制同步加法|减法计数器,采用8421BCD码编码,具有直接清零异步置数功能。
3.控制电路按照系统的要求,电路应该完成以下4个功能;1)当操作直接清零按键时,要求计数器清零。
2)当启动按键闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,显示器显示30秒字样。
当启动按键释放时,计数器开始减法计数。
3)当暂停连续开关处于暂停状态时,控制电路封锁计数脉冲,计数器停止计数,显示器显示原来的数,而且保持不变,当暂停连续开关处于连续状态时,计数器正常计数,另外,外部操作开关都应该采取消抖措施,以防止机械抖动造成电路工作不稳定。
数字电路课程设计_24秒倒计时
![数字电路课程设计_24秒倒计时](https://img.taocdn.com/s3/m/98b423936c175f0e7cd137be.png)
赣南师院物理与电子信息学院数字电路课程设计报告书姓名:班级:学号:时间:图1 总原理框图1.1 555定时器555定时器是一种多用途的数字-模拟混合集成电路,用它可以构成施密特触发器、单稳态触发器和多谐振荡器。
本设计用LM555CM定时器设计一个多谐振荡器给电路提供脉冲信号,产生的脉冲信号用由74LS192进行计数,且设置周期为1秒。
1.1.1555定时器管脚名称和功能图2 555的管脚名称1脚为接地端,也是芯片的公共端。
2脚为C2比较器的信号输入端V2l又称为触发端。
它们输入的信号可以图3 555定时器的内部结构1.1.3 555定时器的功能表输入各级输出T 1状态D RV 1l V 2L V 1C V 2C触发器输出Q 1+n输出V OT 1状态0 ⨯ ⨯ ⨯ ⨯0 低电平 导通 1 (32)V CC (31V CC ) 0 1 0 低电平 导通 1 (32)V CC (31V CC ) 0 0 1 高电平 截止 1 (32)V CC (31V CC ) 1 0 0 高电平 截止 1(32)V CC (31V CC ) 11Q不变不变表1 555定时器的功能表1.274LS192十进制同步加减计数器图5 74LS192的内部结构图1.2.374LS192的功能表表2 74LS192的功能表1.374LS48七段译码器本设计中用共阴极七段显示数码管,为使七段显示数码管能正常工作,将74LS190连接到74LS48,74LS48将高低电平信号译成数码管可读信号,从而实现数字的显示。
1.3.174LS48的引脚排列图6 74LS48的引脚排列1.3.274LS48的真值表表3 74LS48的逻辑功能真值表1.4共阴极数码管数码管有共阴和共阳之分,本设计使用共阴数码管,因为译码器使用为74LS48,相应的数码管要用相应的译码器才能实现译码和显示数字。
1.4.1共阴数码管的引脚排列和简易符号图7 八段共阴数码管图8 数码管简易图1.4.2 共阴数码管的内部结构图9 共阴数码管内部结构2电路分析和仿真结果由上对各个元件进行的简介以及相关的原理图,下面对电路进行分部分析。
数电课程设计十秒倒计时定时器
![数电课程设计十秒倒计时定时器](https://img.taocdn.com/s3/m/674ffa04b0717fd5370cdc52.png)
辽宁师范大学《数字电路》课程设计(09级本科)题目: 定时器1学院:物理与电子技术学院专业:电子信息工程班级:09.3班学号:14级!姓名: 张宁指导教师:赵静邱红张卓2完成日期:2011年10 月27 日一•设计内容及要求10 秒的倒计时定时器,倒计时要求用数码显示,当定时到1秒时,有声音提示,提示声音为0.5秒,当倒计时到0时停止计数二.总体方案设计由设计内容及要求,我设计了一个以NE555构成的多谐振荡电路,来发出一秒间隔的脉冲;用74LS192进行倒计时,通过74LS47连接一个数码显示器;由74LS192发出的高低电平经过逻辑电路变化,连接74LS121来控制蜂鸣器在1秒时响。
三.单元模块设计.1.以NE555构成的多谐振荡器NE555的震荡器在本电路中的周期T=C(R1+R2)=1S 图二冲图三为多谐振荡电路 R1和R2, C 的值确保震荡周期为1 秒,图三的右下角为复位电路,与下一部分一同介绍。
2.倒计时电路图5-1 7 11.SI92的引和扌*列及逻辑符巧<H )引脚扌非列I%1HI l_d I HL L TUT ?1 Qi 口。
CP (> OPuPOOJQO Qijr1一匕 f3 2 P2 P36Q2 7Q3CPu CPnMRTCu TC D1213L_r —Po “就Fil rial pin1011 —14-图四由74LS192的真值表图四可以看出,若想让元件工作在减计数状态MR PL 非,CPu的值必须分别为0,1,1。
由要求可以看出,192的初始必须是九,所以加了一个复位电路,确保初始值是9.计数器输入端P0,1,2,3对应接高低低高电平。
Q0, Q1,Q2,Q3为计数器输出端接到74LS47上。
NE555的3号管脚与74LS192的4浩管脚相连。
一秒发出一个脉冲,74LS192开始倒计时。
4.逻辑电路逻辑电路的作用在于将74LS192输出为一,即 Q3Q2Q1Q0=0001时输给报警电路一个负脉冲。
实验二60秒倒计时电路设计的实验报告
![实验二60秒倒计时电路设计的实验报告](https://img.taocdn.com/s3/m/566e1eb8f121dd36a32d8257.png)
实验二60秒倒计时电路设计的实验报告一、实验目的1.进一步熟悉Quartus II混合层次化设计方法。
2.学习7段数码管的驱动设计方法。
二、实验内容60秒倒计时电路如图1所示。
其中,模块cnt_d60完成60倒计数,输出结果为2位十进制BCD码。
模块SCNA_LED完成BCD码到7段数码管显示译码功能。
图1 60秒倒计时电路图2 60秒倒计时底层电路60倒计数模块cnt_d60底层电路如图2所示。
主要由2片74192(双向十进制计数器)构成。
模块cnt_d60和SCNA_LED的源设计文档(cnt_d60.bdf和SCAN_LED.vhd)提供给大家。
要求大家建立新工程,为模块cnt_d60和SCNA_LED新建封装(*.bsf),并根据图1完成顶层60秒倒计时电路设计。
完成以上程序设计,编译时器件选择Cyclone系列的EP1C12Q240C8。
引脚锁定参考表1内容。
注意:应把未分配管脚置为三态输入,切记!!表1 实验连线1.原理图设计输入(1)首先将模块cnt_d60和SCNA_LED的源文件放在等一下需要建立的文件中,打开QuartusII软件。
(2)选择路径。
选择File/New Project Wizard。
添写后以后,单击“NEXT”进入下一步。
(3)添加设计文件,在File name中选择路径然后添加模块cnt_d60和SCNA_LED的源文件,点击“Next”。
(4)选择FPGA器件。
Family选择Cyclone,先在Packge选择Any QFP,Pin Count 选择240,Speed grade选择8;然后在Available device中选择EP1C12Q240C8,点击“Next”。
(5)选择外部综合器、仿真器和时序分析器。
设置好后,单击“NEXT”进入下一步。
(6)结束设置。
“工程设置统计”窗口,列出了工程的相关设置情况。
最后单击“Finish”,结束工程设置。
99-0倒计时器程设计
![99-0倒计时器程设计](https://img.taocdn.com/s3/m/5cc97f1ca8114431b90dd8c9.png)
目录一、设计的任务与要求 (3)二、方案的选择 (3)三、系统工作原理 (3)四、实现中出现的问题 (7)五、实验数据及处理 (8)六、收获体会 (8)七、参考文献 (9)数电课程设计(倒计时)一、设计的任务与要求显示两位的天数倒计时,如99到1a)当到9时喇叭自动响0时结束b)用LED数码管显示结果c)可以实现预置数功能二、方案的选择方案:通过减法器实现两位是十进制数减法运算,实现倒数功能,并通过通过译码器和LED数码管驱动电路。
同时要求实现预置数功能。
选用芯片参考:减法器、74160A、74LS48、LED数码管电路图如附件:三、系统工作原理通过编码器将递减转换成数字信号,通过减法器实现计数功能。
通过译码器和LED数码管驱动电路。
74LS190 说明74LS04 说明引出端符号:1A-6A 输入端1Y-6Y 输出端74LS32 说明简要说明32 为四组2 输入端或门(正逻辑),共有54/7432、54/74S32、54/74LS32 三种线路结构型式,其主要电特性的典型值如下:型号tPLH tphl PD54/7432 10ns 14ns 96mW54/74S32 4ns 4ns 140mW54/74LS32 14ns 14ns 20mW引出端符号1A-4A 输入端1B-4B 输入端1Y-4Y 输出端74LS48 说明二进制代码在数字电路中,往往用1和0组成二进制数码表示数值的大小或一些特定的信息,这种具有特定意义的二进制数码称为二进制代码。
要用二进制代码来表示十进制的0~9十个数,至少要用4位二进制数。
4位二进制数有16种组合,可从这16种组合中选择10种组合分别来表示十进制的0~9十个数。
选哪10种组合,有多种方案,这就形成了不同的BCD码。
具有一定规律的常用的BCD码见表2-1-1。
表2-1-1常见BCD码从表2-1-1中可以看出,8421BCD码是选取0000~1001这十种状态来表示十进制0~9的。
篮球比赛24秒倒计时电路的设计
![篮球比赛24秒倒计时电路的设计](https://img.taocdn.com/s3/m/a1baf2f39b89680203d82532.png)
故障2:搭建完全部电路后,按下拨动开关,显示电路显示数值 不发生改变。 解决:在对控制电路所有的电路连接方式,元器件的单独检查, 跟完成品的联合检测,最终发现故障为控制电路所处74LS10芯 片构成的与非门没有正常连接进电路,把10芯片拔出来才发现 原来10芯片的第7脚被折弯,没有插进电路板,导致10芯片没有 接地从而导致电路故障,换了芯片后故障排除。
实验步骤
(二)计数器
计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数, 还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功、 能。结合我们所学习的各芯片特点,只有74LS19系列芯片属于可减计 数,我们选取了74LS192芯片进行实验。 利用减计数RD= 0, LD= 0, CPD=1, 实现计数器按8421 码递减进行减计 数。利用借位输出端BO 与下一级的CPD 连接, 实现计数器之间的级联。 利用预置数LD 端实现异步置数。当RD= 0, 且LD= 0 时, 不管CPU 和 CPD 时钟输入端的状态如何, 将使计数器的输出等于并行输入数据, 即 Q3Q2Q1Q0= D3D2D1D0。
心得体会
• 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识 是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结 论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到 问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的 问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理 解得不够深刻,掌握得不够牢固。这次课程设计终于顺利完成了,在设计中 遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。此次 课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差 错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松, 自己也都能扛的起并高质量的完成项目。在此,感谢王老师的细心指导,也 同样谢谢其他各组同学的无私帮助!
(完整版)电子倒计时定时器设计毕业设计论文
![(完整版)电子倒计时定时器设计毕业设计论文](https://img.taocdn.com/s3/m/e9e70a4c3c1ec5da51e27002.png)
江苏信息职业技术学院毕业设计(论文)题目:电子倒计时定时器设计摘要随着时代的进步,电子技术的发展,倒计时定时器得到了越来越广泛的应用,给人们日常的生活、学习、工作、娱乐带来便利,电子定时器相比普通的定时器来事具有体积小、重量轻、造价低、精度高等特点。
本设计主要采用51系列单片机,通过硬件电路设计和软件编程设计来实现,硬件主要包括主控模块,时间显示模块,键盘设置模块,报警器模块的设计,软件编程主要采用C语言,虽然程序条数比较多,但是设计起来比较方便,可通过Keils软件进行调试。
此次倒计时定时器采用单片机AT89S51为核心,利用时钟芯片DS1302来显示一天的时间,系统通电后利用数码管自动显示当前时间,通过键盘可以调整时间,分别对时、分、秒进行加减,也可以通过键盘转换成倒计时模式,最大倒计时时间为59分59秒,而且误差很小,当倒计时为零时蜂鸣器进行报警,指示灯变亮,倒计时功能关闭则显示当前时间,操作简单方便。
关键词:时钟芯片;AT89S51;倒计时;DS1302目录摘要 (1)第1章绪论 (5)1.1课题的学术背景及其实际意义 (5)1.2相关领域的成果及存在的不足 (5)1.3课题来源及主要研究内容 (5)第2章倒计时定时器的结构、原理及设计方案 (7)2.1 单片机的发展概况 (7)2.2 51单片机的内部结构 (7)2.3 设计要求、方案及框图 (9)2.1.1设计要求 (9)2.1.2设计方案 (9)2.1.3设计框图 (10)第3章硬件电路设计 (11)3.1ATS89C51单片机介绍 (11)3.2时钟模块 (13)3.2.1DS1302简介 (13)3.2.2 (14)3.2.3时钟电路设计 (15)3.2.4时钟复位电路 (15)3.3键盘模块 (16)3.4显示模块 (17)3.4.1LED数码管介绍 (17)3.4.2 (19)3.5报警模块 (21)第4章软件程序设计 (22)4.1Keil软件介绍 (22)4.2软件程序流程图 (22)第5章系统调试 (25)结论 (30)参考文献 (31)附录 (32)附录1 主程序 (32)附录 2 电路原理图 (49)附录3 PCB图 (50)致谢 (51)第1章绪论1.1课题的学术背景及其实际意义倒计时定时器已经成为人们日常生活中必不可少的物品,随着技术的发展,广泛的应用于各个公共场所,给人们日常的生活、学习、工作、娱乐带来便利,但是由于原先简单的报时功能已经不能够被人们所满足,希望出一些新的功能新的产品来满足人们的需要,例如重要日子的倒计时、秒表等等,这些都能带来更大的方便。
倒计时定时器电路设计
![倒计时定时器电路设计](https://img.taocdn.com/s3/m/261fe735b90d6c85ec3ac616.png)
目录摘要 (1)第1章概述 (2)第2章电路设计方案 (3)2.1 总体电路设计方案 (3)2.2 单元功能模块设计 (4)2.2.1 秒信号发生器 (4)2.2.260分频器电路设计 (5)2.2.3 减法计数器 (6)2.2.4 译码显示电路 (9)2.2.5 执行电路 (11)第3章整机电路原理 (13)第4章仿真 (14)总结 (16)致谢 (17)参考文献 (18)附录1电路原理图 (19)附录2仿真图 (20)摘要本设计主要由减法计数器、译码显示器、秒信号发生器、分频器、执行路等构成,能实现倒计时、定时和控制执行电路工作的功能。
倒计时计数末了时,继电器动作,控制用电器动作。
其中时钟信号是由多谐振荡器产生的1Hz秒脉冲信号,而且秒脉冲信号可以通过分频器产生出1/60Hz的分脉冲信号,然后通过开关选择秒脉冲或分脉冲信号通过开关选择输入电路,对倒计时计数器进行触发,计数器由置数开关输入的预置数开始进行计数,其中数字由译码数码管显示,直到倒计时末了,产生信号使继电器工作,从而通过控制开关控制受控电器的开或关。
所以,此设计相当于构造了一个电器的控制开关,能够灵活定时电器的工作时间,从而使电器的开关更加方便。
关键词计数器;译码器;显示器;分频器第1章概述倒计时计数器的用途很广泛。
它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关,最长定时时间为99分钟。
他还可以用做倒记时记数,最长记时时间为99秒,而且有二位数码管显示记数状态,可以灵活的对受控电器进行定时开关。
倒计时计时器的核心器件是可预制数减计数器IC3、IC4,其初始数由拨码开关S1、S2设定,其输出状态由BCD码七段译码器IC1、IC2译码后驱动LED数码管显示。
门电路D1、D2产生秒信号脉冲,以及经IC5等60分频后得到的分信号脉冲。
由开关S4选择后作为时钟脉冲送入减计数器的CP端。
当按下启动按钮S3后,S1、S2设定的预置数进入减计数器,数码管显示出该预置数,然后计数器就在时钟脉冲CP的作用下减计数,数码管做同步显示。
秒倒计时器的设计(课程设计)
![秒倒计时器的设计(课程设计)](https://img.taocdn.com/s3/m/69ab677302d276a201292e8f.png)
目录一、计时器概括 (1)1、计时器的特色及应用 (1)2、设计任务及要求 (1)二、电路设计原理及单元模块 (1)1、设计原理 (1)2、设计方案 (2)3、单元模块 (3)3.1、所用各个芯片功能 (3)3.2、各单元电路 (7)四、安装与调试 (11)1、电路的安装 (11)2、电路的调试 (12)五、结论与心得 (12)六、参照文件 (13)1、总电路图 (14)2、元件清单 (14)3、实物 (15)24秒倒计时器的设计和制作一、计时器概括1、计时器的特色及应用在很多领域上当时器均获得广泛应用,诸如在体育竞赛,准时报警器、游戏中的倒时器,交通讯号灯、红绿灯、行人灯、交通纤毫控制机,还能够用来做为各样药丸、药片,胶囊在指准时间提示用药等等,因而可知计时器在现代社会的应用是相当广泛的。
在篮球竞赛中,规定了球员的持球时间不可以超出24 秒,不然就违例了。
本课程设计“智能篮球竞赛倒计时器的设计”,可用于篮球竞赛中,用于对球员持球时间 24 秒限制。
一旦球员的持球时间超出了24 秒,它自动的报警进而判断此球员的违例。
2、设计任务及要求设计一个 24 秒倒计时器,详细功能要求以下:1、用小规模集成电路设计24 秒倒计时电路;2、用 555 准时器产生 1Hz 的标准脉冲信号;3、当计时器显示00,同时报警;4、计时器应拥有清零、启动、暂停/持续计时等控制功能。
二、电路设计原理及单元模块1、设计原理24 秒计时器的整体参照方案框图如图 1 所示。
它包含秒脉冲发生器、计数器、译码显示电路、报警电路和协助时序控制电路(简称控制电路)等五个模块构成。
其上当数器和控制电路是系统的主要模块。
计数器达成24 秒计时功能,而控制电路达成计数器的直接清零、启动计数、暂停 /连续计数、译码显示电路的显示与灭灯、准不时间到报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和准时标准,但本设计对此信号要求其实不太高,故电路可采纳555 集成电路或由TTL 与非门构成的多谐振荡器构成。
倒计时电路设计课程设计
![倒计时电路设计课程设计](https://img.taocdn.com/s3/m/691812b6988fcc22bcd126fff705cc1755275fc7.png)
倒计时电路设计课程设计一、课程目标知识目标:1. 理解倒计时电路的基本原理,掌握电路的设计与搭建方法;2. 掌握计时器集成电路的使用,了解其工作原理及功能;3. 了解数字电路基础知识,如逻辑门、触发器等,并能运用到倒计时电路设计中。
技能目标:1. 能够运用所学知识,设计并搭建简单的倒计时电路;2. 学会使用相关仪器、工具进行电路调试,排除故障;3. 提高动手实践能力,培养团队协作能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生严谨的科学态度,注重实验操作的规范性和安全性;3. 增强学生的环保意识,养成良好的电路废弃物处理习惯。
课程性质:本课程为实践性较强的电子技术课程,注重理论知识与实际操作相结合。
学生特点:学生具备一定的电子技术基础,对新鲜事物充满好奇,喜欢动手实践。
教学要求:结合学生特点,注重启发式教学,引导学生主动探究,提高实践能力。
将课程目标分解为具体的学习成果,以便在教学过程中进行有效指导和评估。
二、教学内容1. 理论知识:- 电路基础知识:回顾数字电路基础,如逻辑门、触发器等;- 计时器集成电路:介绍计时器集成电路的原理、功能及其在倒计时电路中的应用;- 倒计时电路原理:讲解倒计时电路的基本原理及设计方法。
2. 实践操作:- 电路设计:指导学生设计简单的倒计时电路,包括电路图绘制、元件选型等;- 电路搭建:学生动手搭建倒计时电路,熟悉仪器、工具的使用;- 电路调试:学生进行电路调试,排除故障,确保电路正常运行。
3. 教学大纲:- 第一周:回顾电路基础知识,介绍计时器集成电路;- 第二周:讲解倒计时电路原理,进行电路设计;- 第三周:学生动手搭建倒计时电路,进行调试与优化。
教学内容安排与进度:课程共分为三周,每周分别对应上述教学内容。
教材章节关联:《电子技术基础》第四章 数字电路基础、《电子技术实践》第二章 计时器集成电路应用。
教学内容注重科学性和系统性,结合理论与实践,使学生能够扎实掌握倒计时电路的设计与搭建方法。
倒计时计时器设计
![倒计时计时器设计](https://img.taocdn.com/s3/m/cc3ba5d104a1b0717ed5dd02.png)
一.设计任务和要求倒计时计时器的用途很广泛。
它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。
它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。
用三个可预置数的减计数器组成三个二-十进制减计数器。
用三个译码器和三个LED数码显示器,COMSS电路组成秒/分选择器。
另外有控制电路,控制器随着计数器计数的状态发生改变,计时期间,用电气开关断开。
当计时完毕时,用电气开关闭合。
(1)用三个可预置数的减计数器组成三个二-十进制减计数器。
(2)用三个译码器和三个LED数码显示器,COMSS电路组成秒/分选择器。
二.设计的作用与目的(1) 实现定时开或者定时关,最长定时时间为999分钟。
(2)用做倒计时记数,最长记时时间为999秒。
1三.倒计时计时器的设计1.倒计时计时器系统概述用时钟脉冲发生器来产生频率为1Hz的脉冲,即输出周期为1秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的CP减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,或者将该方波脉冲信号送到减法计数器CD40110的CP减计数脉冲端,通过计数器把8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动和暂停/连续、译码显示电路的显示。
在74LS192输入端设置4个开关,通过开关的高低电平状态从而实现999秒内任意时间的倒计时。
在电路中加入停止器使其倒计时到000时停止计时并且蜂鸣器响。
系统设计框图如图12图1系统设计框图2. 555定时器制成多谐振荡器多谐振荡器是一种自激振荡器,接通电源后不需外加触发便能产生矩形脉冲。
我们用555定时器构成多谐振荡器的原理很简单,只要将施密特触发器的反相输出端经RC积分电路接回输入端即可。
数字电路技术基础-倒计时器实验
![数字电路技术基础-倒计时器实验](https://img.taocdn.com/s3/m/c4bee474a22d7375a417866fb84ae45c3b35c2b0.png)
倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。
通过key1控制切换计数与暂停状态。
当key1按下时,开始倒计时,再次按下,暂停计时。
通过key2控制置初值。
暂停状态下,当key2按下时,回到初始状态,数码管显示2500。
设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。
提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。
使用课前预习的状态机模块,控制25分钟倒计时模块。
调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。
1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。
电子技术课程设计--倒计时器的设计
![电子技术课程设计--倒计时器的设计](https://img.taocdn.com/s3/m/0186fe58e009581b6ad9eb71.png)
课程设计名称:电子技术课程设计题目:倒计时器学期:2013-2014学年第2学期专业:自动化班级:姓名:学号:指导教师:课程设计任务书一、设计题目倒计时器的设计二、设计任务显示两位数倒计时,如99到11.当到9时喇叭自动响0时结束2.用LED数码管显示结果3.可以实现预置数功能三、设计计划电子技术课程设计共1周。
第1天:针对选题查资料,确定设计方案;第2天:方案分析比较,电路原理设计,进行元器件及参数选择;选用芯片参考:减法器、74160A、74LS48、LED数码管、74190等。
第3~4天:利用Multisim或PROTUES电路仿真,画电路原理图;第5天:编写整理设计报告。
四、设计要求1. 画出整体电路图(Protel或Altium Designer或Multisim,推荐Altium Designer)。
2. 对所设计的电路全部或部分进行仿真,使之达到设计任务要求。
3. 写出设计报告书。
指导教师:时间:倒计时器在日常生活中应用比较广泛,比如篮球比赛是用的30秒倒计时,还有交通灯使用的60秒倒计时等等,但是这些倒计时器仅用在特定的场合,通用性比较差。
为此,本文通过简单的数字逻辑电路器件,搭建成了可以实现预置数功能的倒计时器。
本次设计的倒计时器主要由六个模块构成:置数电路、脉冲发生器、计数器、显示电路、控制电路和报警电路。
用拨码开关和74LS147D优先编码器实现置数,用两片74LS192D连成100进制减法计数器并通过555定时器构成多谐振荡电路提供脉冲,LED数码管显示,当倒计时记到09时用蜂鸣器实现报警直到00结束,最后通过控制电路实现倒计时器的置数、暂停、清零。
本倒计时器可以实现任意两位数的倒计时,实时性强,可操作性好,较好的解决了目前倒计时器通用性差的问题。
关键词:倒计时器;LED;报警;控制综述 (1)1方案设计与分析 (2)1.1设计原理 (2)1.2设计方案 (2)1.3电路设计框图 (3)2单元电路设计 (4)2.1置数电路 (4)2.1.1电路组成 (4)2.1.2工作原理 (4)2.2计数器电路 (5)2.3显示电路 (5)2.4脉冲发生电路 (6)2.4.1 555定时器 (6)2.4.2用555定时器构成多谐振荡器 (6)2.5报警电路 (7)2.6控制电路 (8)3总体电路仿真 (9)设计体会 (10)参考文献 (11)倒计时器在人们日常生活中应用广泛,为人们提供了很大的便利,倒计时器的样子和功能也多种多样。
基于51单片机的9999秒倒计时器设计
![基于51单片机的9999秒倒计时器设计](https://img.taocdn.com/s3/m/96ca37215901020207409c09.png)
熟悉毕业设计方案,查阅相关资料
完成方案的初步设计,开题报告
电路的仿真和PCB板的设计
软件流程的设计和软件的编写
完成软件的编写与调试
硬件电路的装配与调试
电路的软硬件综合调试
完成毕业设计
参考文献
[1] 李朝青.单片机原理及接口技术(简明修订版).北京航空航天大学出版社,1998
[2] 李广弟.单片机基础[M].北京航空航天大学出版社,1994
A
0
1
1
1
0
1
1
1
77H
B
0
1
1
1
1
1
0
0
7CH
C
0
0
1
1
1
0
0
1
39H
D
0
1
0
1
1
1
1
0
5EH
E
0
1
1
1
1
0
0
1
79H
F
0
1
1
1
0
0
0
1
71H
.
1
0
0
0
0
0
0
0
80H
5.3LED 数码管显示方式
LED显示器工作方式有两种:静态显示方式和动态显示方式。
静态显示的特点是每个数码管的段选必须接一个8位数据线来保持显示的字形码。当送入一次字形码后,显示字形可一直保持,直到送入新字形码为止。这种方法的优点是占用CPU时间少,显示便于监测和控制。缺点是硬件电路比较复杂,成本较高。
①一个8位CPU;
②一个片内振荡器和时钟电路;
③4KB ROM(80C51有4KB掩膜ROM,87C51有4KB EPROM,80C31片内有无ROM);
比赛24秒倒计时电路的设计与制作
![比赛24秒倒计时电路的设计与制作](https://img.taocdn.com/s3/m/8bf6e542a21614791611281c.png)
比赛24秒倒计时电路的设计与制作比赛24秒倒计时电路的设计与制作中国工程物理研究院工学院赵应泽龙江一、电路组成电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。
其整机电路如图2所示,印制板电路如图3所示。
经过计算得到.f≈IHz,即1秒。
2.计数器计数器由两片74Lsl92同步十进制可逆计数器构成。
利用减计数利用预置数,实现计数器按8421码递减进行减计数。
利用借位输出端与下一级的cP。
连接,实现计数器之间的级联。
利用预置数端实现异步置数。
当R。
=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。
3.译码及显示电路本电路由译码驱动74Ls48和7段共阴数码管组成。
74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。
4.控制电路完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。
控制电路由Ic5组成。
Ic5B受计数器的控制。
Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。
(1)K1:启动按钮。
K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。
当K1闭合时,计数器开始计数。
(2)K2:手动复位按钮。
当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。
当松开K2时,计数器从24开始计数。
(3)K3:暂停按钮。
当"暂停/连续"开关处于"暂停"时,计数器暂停计数,显示器保持不变,当此开关处于"连续"开关,计数器继续累计计数。
5.报警电路当Ic5D输出为低电平时,发光二极管D发光,同时蜂鸣器发出报警。
倒计时电路课程设计
![倒计时电路课程设计](https://img.taocdn.com/s3/m/05c1f740fbd6195f312b3169a45177232f60e4c5.png)
倒计时电路课程设计一、课程目标知识目标:1. 学生能理解倒计时电路的基本原理,掌握其组成部分及功能。
2. 学生能掌握倒计时电路的设计方法,并运用相关知识解决问题。
3. 学生了解倒计时电路在实际应用中的重要性,如生活中的定时器、电子时钟等。
技能目标:1. 学生能运用所学知识,独立完成倒计时电路的设计与搭建。
2. 学生具备分析倒计时电路常见故障并提出解决策略的能力。
3. 学生能够运用信息技术手段,对倒计时电路进行仿真与优化。
情感态度价值观目标:1. 学生对倒计时电路产生兴趣,激发学习电子技术的热情。
2. 学生通过合作学习,培养团队协作能力和沟通表达能力。
3. 学生认识到倒计时电路在科技发展中的重要作用,增强社会责任感和创新精神。
课程性质:本课程为电子技术基础课程,以实践操作为主,注重培养学生的动手能力和创新能力。
学生特点:初三学生具有一定的物理基础和电子技术知识,对实际操作有较高的兴趣,但需加强理论知识与实际应用的结合。
教学要求:结合学生特点,注重理论与实践相结合,突出学生的主体地位,提高学生的实际操作能力和创新能力。
通过课程目标的分解,使学生在学习过程中达到预期的学习成果,为后续教学设计和评估提供依据。
二、教学内容本课程教学内容主要包括以下三个方面:1. 倒计时电路基本原理- 介绍倒计时电路的定义、工作原理及其组成部分。
- 分析倒计时电路中的计数器、触发器、时钟信号等关键元件的作用。
2. 倒计时电路设计与搭建- 引导学生学习倒计时电路的设计方法,包括电路图绘制、元件选型等。
- 指导学生动手搭建倒计时电路,掌握实际操作技巧。
- 结合教材章节,以具体案例为例,讲解倒计时电路的设计与搭建过程。
3. 倒计时电路应用与拓展- 介绍倒计时电路在实际应用中的案例,如定时器、电子时钟等。
- 引导学生探索倒计时电路的拓展功能,如定时开关、倒计时提醒等。
- 分析倒计时电路在生活中的应用,激发学生的学习兴趣。
教学大纲安排如下:1. 第1课时:倒计时电路基本原理学习。
倒计时控制器课程设计
![倒计时控制器课程设计](https://img.taocdn.com/s3/m/a906abfa9fc3d5bbfd0a79563c1ec5da50e2d66e.png)
倒计时控制器课程设计一、引言倒计时控制器是一种常见的电子设备,它可以实现倒计时功能,在各种场景中被广泛应用。
本文将介绍一个基于倒计时控制器的课程设计,通过设计与实现一个简单的倒计时控制器电路,来帮助读者更好地理解倒计时控制器的原理与应用。
二、设计目标本次课程设计的目标是设计一个倒计时控制器电路,实现可调节的倒计时功能。
具体设计要求如下:1. 使用集成电路实现倒计时功能;2. 设计一个数字显示模块,显示倒计时的时间;3. 实现可调节的倒计时时间,范围为1秒至10分钟;4. 设计一个触发按钮,用于启动倒计时;5. 倒计时结束后,发出提示音。
三、电路设计1. 倒计时控制器电路主要由以下几个部分组成:- 时钟模块:提供稳定的时钟信号;- 计数模块:用于记录倒计时的时间;- 显示模块:用于显示倒计时的时间;- 控制模块:用于控制倒计时的启动与结束;- 提示音模块:用于发出倒计时结束的提示音。
2. 时钟模块:- 时钟模块采用555定时器作为稳定的时钟源;- 通过调节电阻和电容,可以调节时钟信号的频率。
3. 计数模块:- 计数模块采用7490二进制计数器;- 7490计数器能够实现0-9的循环计数。
4. 显示模块:- 显示模块采用数码管显示器;- 通过与计数模块连接,实现倒计时数字的显示。
5. 控制模块:- 控制模块采用触发器和逻辑门电路;- 通过触发按钮的触发信号,控制倒计时的启动与结束。
6. 提示音模块:- 提示音模块采用蜂鸣器;- 在倒计时结束后,触发提示音信号。
四、实验步骤1. 按照电路设计连接电子元件,搭建倒计时控制器电路;2. 调节时钟模块,使得时钟信号的频率满足要求;3. 设置倒计时的时间范围,通过逻辑门控制计数模块的复位信号;4. 设置触发按钮,通过触发器控制倒计时的启动与结束;5. 进行电路测试,验证倒计时控制器的功能;6. 进行倒计时的调试与优化;7. 完成倒计时控制器的整体设计。
五、实验结果与分析经过实验,倒计时控制器能够实现可调节的倒计时功能。
24秒倒计时电路的简单制作
![24秒倒计时电路的简单制作](https://img.taocdn.com/s3/m/ab542f36647d27284b7351f8.png)
24秒倒计时电路的简单制作本设计采用555作为振荡电路,由74LSl92、74LS48和七段共阴LED数码管构成计时电路,具有计时器直接复位、启动、暂停、连续计时和报警功能。
该电路制作、调试简单,采用普通器件,一装即成。
一、电路组成电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见右图。
其整机电路如下图所示,印制板电路如左图所示。
1.秒脉冲发生器秒脉冲产生电路由555定时嚣和外接元件R1、R2、C构成多谐振荡器。
输出脉冲的频率为:经过计算得到f≈1Hz即1秒。
2.计数器计数器由两片74LS192同步十进制可逆计数器构成。
利用减计数Rd=0,反向=0,CPd=1,实现计数器按8421码递减进行减计数。
利用借位输出端反向BO与下一级的CPd连接,实现计数器之间的级联。
利用预置数反向LD端实现异步置数。
当Rd=0,且反向LD=0时,不管CPu和CPd时钟输入端的状态如何,将使计数器的输出等于并行输人数据,即Q3Q2Q1Q0=D3D2D1D0。
3.译码及显示电路本电路由译码驱动74LS48和7段共阴数码管组成。
74LS48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。
4.控制电路完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。
控制电路由IC5组成。
IC5B受计数器的控制。
IC5C、IC5D组成RS触发器,实现计数器的复位、计数和保持“24”、以及声、光报警的功能。
(1)K1:启动按钮。
K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持“24”状态不变,处于等待状态。
当K1闭合时,计数器开始计数。
(2)K2:手动复位按钮。
当接下K2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即“24”。
当松开K2时,计数器从24开始计数。
(3)K3:暂停按钮。
当“暂停/连续”开关处于“暂停”时,计数器暂停计数,显示器保持不变,当此开关处于“连续”开关,计数器继续累计计数。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《电子线路CAD》课程论文题目:倒计时计时器电路设计
1 电路功能和性能指标
采用计数器74LS192作为核心部分。
同时选择74LS48作为BCD码译码器来对7段数码显示管进行译码驱动,两个七段数码显示管进行显示。
采用555计时器制成的多谐振荡器,进行秒脉冲的输入。
因为我们需要对其进行暂停、清零、报警等控制,所以我们使用了两个开关来控制计数器的各功能的实现,从而实现各种功能.
2 原理图设计
2.1原理图元器件制作
该元器件制作简单,从工具栏放置了三种基本结构并对格式稍作修改就完成了.
①新建一个项目,并保存为“倒计时计时器电路.PRJPCB”,然后新建一个原理图文件,保存为“倒计时计时器.SCHDOC”,绘图坏境已设置好.
②原理图绘制如下图:
⑴编译参数设置,如下图:
⑵项目编译
④项目元器件库的生成
①网络表的生成
⑴元器件信息 ⑵电气连接
②简易元器件清单报表
生成2个报表文件,分别为“24秒倒计时电路.BOM ”和“24秒倒计时电路. CSV ”.
3 PCB 设计
3.1元器件封装制作
3.2 PCB设计
①新建一个PCB文件
②生成该项目封装库
生成当前元器件封装库,截图如下:
③目录结构
3.3 PCB设计后处理
①补滴泪操作
采用默认设置补滴泪后PCB截图如下:
②放置敷铜
采用默认设置,敷铜后PCB截图如下:
③生成PCB信息报表
④生成网络状态报表
4心得体会
cad是现在景观和建筑界用的很多的一种绘图工具。
功能很强大,可以绘画出很多很复杂的图形,这对设计思想很新颖和大胆的设计师来说有很大的发挥空间,所以学习CAD是很重要的。
网上有很多CAD的学习教程和练习,看过之后发现那些上传的视频都太快,都是以画图为例然后画出某某图,可是没有考虑到新学者对那些命令的认识度基本上是零。
CAD高手在视频上面噼里啪啦的画图,变化边说用什么命令,我第一次看这样的视频的时候是稀里糊涂的下来的,完后什么效果也没有,所以经过一段时间的学习后,对学习CAD有一些小心得,发表出来希望对新手有些帮助,文采不好希望大家见谅。
首先,新学者必须要自己先熟悉下CAD的非技巧性的知识。
就是绘图之前要做哪些调整,哪些设定等等。
熟练之后再来做下面的准备。
第二,网上搜寻所有的命令。
记住每一个命令的符号形式,就是什么命令长什么样。
(不要找视频,一般的都看不懂,哪些上传视频的高手一般都抱着卖弄的思想上传视频的。
)然后认真的记住每一个命令是干什么用的。
第三,动手。
打开CAD后,认真的重复每一个命令。
用每一个命令划线、画图形等等元素。
这个过程中可以插入快捷键的使用。
也可以在熟悉所有的命令后重新涉及到快捷键的使用。
第四,找一些简单的图纸描图。
建筑图纸、景观图纸、磨具图纸等等,尽量简单些。
导入CAD后自己慢慢的描图,开始的时候不要求快,争取不出错,在描图的过程中自己注意些有哪些命令可以有相同的作用,或者有哪些更简单的方法。
第五,上面的所有的熟悉后可以联系快捷键了。
可以下载一个快捷键的桌面,忘了的时候可以切到外面看下。
也可以自己写下来放在旁边不时地看看。
慢慢练习,时间长了就记住了。
第六,这是一个提高的要求。
找一些很复杂很坑爹的图纸来,不要描图,直接根
据上面的数据自己画,一点都不要出错。
开始会很慢,每一次都力求在不出错的
前提下缩短时间。
第七,网上看看视频。
现在的水平看那些视频应该没有什么问题了。
但是一山更比一山高,所以上网看的时候有些时候还是会有很大的收获的。
第八,多发表自己的心得到网上,帮助大家学习。
总之,这是一个熟悉的过程,包括PS、3D、SU等工具都是一样的。
不停的练习就会达到手指在键盘上面飞的程度!
5参考文献
[1] 陈学平. Protel 2004 快速上手[M]. 北京: 人民邮电出版社, 2005.
[2] 王东, 来羽, 王会良. Protel DXP 2004应用100例[M]. 北京: 电子工业出版
社, 2011.
[3] 谈世哲. Protel DXP 2004 电路设计基础与典型范例[M]. 北京: 电子工业出
版社, 2007.
[4] 薛楠. Protel DXP 2004 原理图与PCB设计实用教程[M]. 北京: 机械工业
出版社, 2012.
[5] 陈兆梅. Protel DXP 2004 SP2 印刷电路板设计实用教程[M]. 2版. 北京: 机
械工业出版社, 2012.。