多功能数字钟设计

合集下载

多功能数字钟课程设计

多功能数字钟课程设计

多功能数字钟课程设计
1 多功能数字钟课程设计
多功能数字钟课程设计是一个实验探索类的课程,它在激发学生
学习热情和强化学生的发明能力的同时,兼顾他们在电子信息技术领
域的技能训练。

2 课程背景
该课程的背景主要来自近几年电子信息技术的飞快发展和日新月
异的变化,在21世纪,科技的发展发展不断推动着社会的发展。

因此,人们对信息技术人才的要求越来越多,技术和工程人才岗位也在变得
越来越多,而这背后便是科技发展需要更多深入的研究和精深的工程
技术。

3 课程介绍
本课程的主要内容包括:数字信号与系统、单片机编程、数字电
路设计、数字技术应用研究等。

主要让学生掌握多功能数字钟的基本
原理,能够设计制作出各种功能现代化的多功能数字钟。

学生可以在
课堂上讨论各种电子信息工程技术,并根据自己的喜好来实现功能,
比如加入计算温度、日期显示、天气消息显示等功能,同时还可以学
习一些单片机编程语言,拿实际的案例来研究各种电子元器件的组装
和工程设计的流程,从而加深自身的科技能力和创新思维。

4 课程目标
该课程目标旨在培养学生具有独立创新思维、独立完成科技领域及其他领域复杂问题研究解决过程的能力,培养跨学科合作精神,在学习电子信息工程技术的基础上,增强学生在团队合作和沟通能力上的综合素质,提高学生的科技竞技能力,增强学生的社会责任感。

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计
第一步实现多功能数字钟的基本功能,包括显示当前时间和设置定时
功能。

为了实现这一功能,我们需要使用一个定时器,以实现每秒钟更新
一次时间并显示在LCD屏上,同时实现定时功能。

第二步用一个按钮来切换显示当前时间和定时时间。

为了实现这一功能,我们需要在LCD屏上显示当前时间和定时时间,当按钮按下时,可以
改变当前时间和定时时间的显示。

第三步加入计时功能,使用者可以设置一个计时时间,当计时结束时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要使用一个计数器,计算出时间差,当到达设定的计时时间时,发出报警声或者显示一个
提醒。

第四步增加闹钟功能,使用者可以设置一个闹钟时间,当达到闹钟时
间时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要在指定
的时间段内,获取当前时间,通过一个实时检查程序,来实现闹钟功能,
当到达时间时,发出报警声或者显示一个提醒。

第五步加入天气预报功能,使用者可以查询当前城市的天气情况,以
及未来三天的天气预报。

为了实现这一功能,我们需要使用一个API来获
取天气情况,并将获取的信息在LCD屏上显示出来,方便使用者查询。

多功能数字钟设计

多功能数字钟设计

v .. . .. EDA设计(二)——多功能数字钟设计姓名:学号:院系:电光学院指导老师:完成时间:2012目录摘要 (3)一、实验设计内容及要求 (4)1、内容 (4)2、设计基本要求 (4)3、设计提高部分 (4)二、设计方案 (5)三、子模块电路设计 (6)1、分频模块 (6)(1)2分频电路 (6)(2)24分频电路 (7)(3)48分频电路 (8)(4)1000分频电路 (9)2、计时模块 (10)(1)秒计时电路 (11)(2)分计时电路 (13)(3)时计时电路 (14)3、译码显示模块 (15)4控制模块 (17)(1)清零电路 (18)(2)保持电路 (18)(3)校分校时电路 (18)四、提高部分设计 (19)1、整点报时电路 (19)2、星期显示电路 (21)五、调试以及编程下载 (21)六、实验中遇到问题及解决方法 (22)七、实验收获与体会 (23)八、参考文献 (24)摘要本实验借助于QuartusⅡ7.0软件设计一个多功能的数字时钟,具有24小时计时、保持、清零、校分校时等基本功能,并在此基础上添加了星期显示、校星期、整点报时、动态显示等附加功能。

并利用QuartusⅡ进行相应的设计、仿真、调试,最后下载到SmartSOPC实验系统上验证设计的正确性。

关键词:多功能数字时钟,QuartusⅡ,计时,星期显示,整点报时、动态显示、SmartSOPC。

ABSTRACTThis experiment is to design a multifunctional digital clock with quartusⅡ.The multifunctional digital clock has varities of the functions like 24-hour timer, keeping,clearing,adjusting time .It also includes additional functions such as week display, chime on integral hour ,dynamic display and so on. we designed and simulated with QuartusⅡand finally downloaded it to the experiment platform to test.Key words:multifunctional digital clock,qQuartus Ⅱ,timer,week,chime on integral hour, dynamic display,SmartSOPC.多功能数字钟设计一、实验设计内容及要求1、内容利用QuartusII软件设计一个数字钟,并下载到SmartSOPC实验系统中,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。

多功能数字时钟设计报告

多功能数字时钟设计报告

多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。

具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。

(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。

(3)整点报时:当时钟计时到整点时,能进行整点报时。

(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。

多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。

另外采用了总线的电路连线方式使电路图简洁美观。

1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。

多功能数字钟设计

多功能数字钟设计

port map(clk=>clk1s,clr=>disable,en=>s_mh_en,count=>s_mh);
SET_H: counter
generic map( count_value => 23)
port map(clk=>clk1s,clr=>disable,en=>s_h_en,count=>s_h);
architecture rtl of TIMER is
Begin
定义语句区
End rtl;
功能描述(并发描述语句)
㈠时钟信号生成功能部分
signal clk1s: std_logic; --1s计数时钟
signal cnt: std_logic_vector( 9 downto 0);
signal dy : std_logic; --整点报时控制时钟,50’’、52”、54”、56”、 58” generat_1s_clock: PROCESS (scanclk)
h<=c_h when set = '0' else s_h; hh<=1 when h>=10 and h<20 else
2 when h>=20 else 0; hl<=(h-0) when h<10 else (h-10) when h>=10 and h<20 else (h-20);
㈢闹表计时功能描述
signal s_ml_en , s_mh_en, s_h_en : std_logic; 定义语句区
signal s_mh,: integer range 0 to 5;
signal s_ml: integer range 0 to 9;

单片机多功能电子数字钟课程设计报告

单片机多功能电子数字钟课程设计报告

多功能电子数字钟设计数字钟在日常生活中最常见, 应用也最广泛。

本文主要就是设计一款数字钟, 以89C52单片机为核心, 配备液晶显示模块、时钟芯片、等功能模块。

数字钟采用24小时制方式显示时间, 定时信息以及年月日显示等功能。

文章的核心主要从硬件设计和软件编程两个大的方面。

硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。

软件用C语言来实现, 主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。

关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1.MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。

这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。

8051单片机的结构特点有以下几点: 8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源, 2个中断优先级;全双工串行口。

定时器/计数器8051内部有两个16位可编程定时器/计数器, 记为T0和T1。

16位是指他们都是由16个触发器构成, 故最大计数模值为2 -1。

可编程是指他们的工作方式由指令来设定, 或者当计数器来用, 或者当定时起来用, 并且计数(定时)的范围也可以由指令来设置。

这种控制功能是通过定时器方式控制寄存器TMOD来完成的。

在定时工作时, 时钟由单片机内部提供, 即系统时钟经过12分频后作为定时器的时钟。

技术工作时, 时钟脉冲由TO和T1输入。

中断系统8051的中断系统允许接受五个独立的中断源, 即两个外部中断申请, 两个定时器/计数器中断以及一个串行口中断。

外部中断申请通过INTO和INT1(即P3.2和P3.3)输入, 输入方式可以使电平触发(低电平有效), 也可以使边沿触发(下降沿有效)。

2.8051的芯片引脚如图1-2所示VCC: 供电电压。

多功能数字钟(课程设计版)

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。

2.秒、分为00-59六十进制计数器。

3.时为00-23二十四进制计数器。

4.可手动校正:能分别进行秒、分、时的校正。

只要将开关置于手动位置。

可分别对秒、分、时进行连续脉冲输入调整。

5.整点报时。

整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日索引摘要 (3)Abstract (3)1系统原理框图 (5)2方案设计与论证 (6)2.1时间脉冲产生电路 (6)2.2分频器电路 (9)2.3时间计数器电路 (10)2.4译码驱动及显示单元电路 (11)2.5校时电路 (12)2.6报时电路 (13)3单元电路的设计 (14)3.1时间脉冲产生电路的设计 (14)3.2计数电路的设计 (15)3.2.1 60进制计数器的设计 (15)3.2.2 24进制计数器的设计 (15)3.3译码及驱动显示电路 (16)3.4 校时电路的设计 (17)3.5 报时电路 (18)3.6电路总图 (20)4仿真结果及分析 (21)4.1时钟结果仿真 (21)4.2 秒钟个位时序图 (21)4.3报时电路时序图 (22)4.4测试结果分析 (22)5心得与体会 (23)6参考文献 (24)附录1原件清单 (25)附录2部分芯片引脚图与功能表 (26)摘要多功能数字钟具有时间显示、闹钟设置、环境温度测量、电网电压、电网频率显示,闹铃控制和电网电压的过压、欠压报警等功能,深受人们欢迎。

数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。

可以使用七段显示器来显示数字。

2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。

3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。

4.日历功能:设计一个日历功能,可以显示当前的日期和星期。

5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。

6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。

7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。

8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。

这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。

基于-Quartus多功能数字钟设计

基于-Quartus多功能数字钟设计

基于Quartus的多功能数字钟设计该实验是利用QuartusII软件设计一个数字钟,进展试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种根本功能,并下载到SmartSOPC实验系统中进展调试和验证。

此外还添加了显示星期,闹钟设定,秒表和彩铃等附加功能,使得设计的数字钟的功能更加完善。

一、设计要求1.设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等根本功能。

2.具体要求如下:1)能进展正常的时、分、秒计时功能,最大计时显示23小时59分59秒。

2)分别由六个数码管显示时分秒的计时。

3)K1是系统的使能开关,K1=0正常工作,K1=1时钟保持不变。

4)K2是系统的清零开关,K2=0正常工作,K2=1时钟的分、秒全清零。

5)在数字钟正常工作时可以对数字钟进展快速校时和校分。

K3是系统的校分开关,K3=0正常工作K3=1时可以快速校分;K4是系统的校时开关,K4=0正常工作,K4=1时可以快速校时。

3.设计提高局部要求1)时钟具有整点报时功能,当时钟计到59’51〞时开场报时,在59’51〞,59’53〞, 59’55〞,59’57〞时报时频率为512Hz,59’59〞时报时频率为1KHz。

2)星期显示:星期显示功能是在数字钟界面显示星期,到计时到24小时时,星期上显示的数据进一位。

3)闹表设定:通过开关切换显示至闹钟界面,利用闹钟校时和校分开关对闹钟时间进展设定,且不影响数字钟计时。

当计时到闹钟设定时间蜂鸣器鸣叫,并响起彩铃。

4)秒表计时:通过开关切换显示至秒表界面,分秒局部是100进制的,即当值为99时向秒位进位。

4.仿真与验证用Quartus软件对设计电路进展功能仿真,并下载到实验板上对其功能进展验证。

二、工作原理数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几局部组成的,控制电路按要求可由校分校时电路、清零电路和保持电路组成。

多功能数字钟的设计

多功能数字钟的设计

1多功能数字钟的设计1.1设计要求设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校时间,每逢整点,产生报时音报时。

系统框图如图1-1所示:系统模式手动IHZ时钟源复位选择校时输入图IT多功能数字钟系统框图显示部分可以使用数码管(基本)或液晶LCD(扩展)。

1.2设计提示此设计问题可分为主控电路、计数器模块和扫描显示三大部,主控电路中各种特殊功能的实现设计问题的关键。

用两个电平信号A、B进行模式选择,AB=OO为模式0,系统为计时状态;AB=Ol为模式1,系统为手动校时状态;AB=Io为模式2,系统为闹钟设置状态。

设置一个turn信号,当turn=0时,表示在手动校对时,选择调整分钟部分;当turn=l 时,表示在手动校对时,选择调整小时部分。

设置一个Change信号,在手动校时或闹钟设置模式下,每按一次,计数器加1。

设置一个reset信号,当reset=O时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。

设置一个闹钟设置信号resell,当resetl=O时,对闹钟进行设置,当resetl=O时,关闭闹钟信号。

设置状态显示信号(连发光二极管):LD_alert指示是否设置了闹铃功能;LDjI指示当前调整的是小时信号;LD_m指示当前调整的是分钟信号。

当闹钟功能设置后(LD_alert=l),系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。

整点报时部分由分和秒计时同时为0(或60)启动,与闹铃共用一个扬声器驱动信号OUto 系统计时时钟为Clk=IHz,选择另一时钟clk」k=1024Hz作为产生闹铃声、报时音的时钟信号。

主控电路状态表如表1-1所示。

硬件系统示意图如图1-2所示。

晶振[OOO1.D_hLD_mMs6Ms5..LD_alertclkjkClkFP∈Λ∕(PLDturnchangeresetreset1AIIII IIII IIII LlL∣abCdefg图1-2数字钟硬件系统示意图。

基于单片机的多功能数字钟的设计

基于单片机的多功能数字钟的设计

一、概述现代社会,时间被视为人们生活的重要组成部分。

而数字钟作为时间的一种展现形式,已经成为人们生活中不可或缺的一部分。

基于单片机的多功能数字钟设计,将为人们提供更加准确、便捷、多功能的时间展现方式,满足人们对时间的精准要求,同时也为人们的生活带来更多便利。

二、设计目标1. 实现精准的时间显示功能,包括时、分、秒的显示;2. 实现对日期的显示,包括年、月、日的显示;3. 实现多种报时功能,如定时报时、闹钟报时等;4. 实现多种显示效果,如渐变显示、闪烁显示等;5. 实现对时间的调整功能,包括校时、调整日期等;6. 实现对亮度的调节功能,适应不同环境下的使用需求。

三、设计思路1. 硬件设计1.1 硬件采用单片机作为核心控制器,通过外部晶振提供时钟信号;1.2 采用数码管作为显示设备,通过单片机控制数码管进行时间、日期的显示;1.3 通过按钮、旋钮等输入设备,实现时间调节、报时设置等操作;1.4 通过EEPROM等存储设备,实现时间、设置的存储和读取功能;1.5 通过光敏电阻等光敏传感器,实现对环境光强的检测,调节数码管显示亮度。

2. 软件设计2.1 设计合理的时钟系统,确保时间的准确显示;2.2 设计报时功能模块,实现定时报时、闹钟报时等功能;2.3 设计显示控制模块,实现数字、日期的显示效果控制;2.4 设计操作响应模块,实现对按钮、旋钮等输入设备的操作响应;2.5 设计存储管理模块,实现时间、设置数据的存储和读取功能;2.6 设计光敏控制模块,实现对数码管显示亮度的实时调节。

四、实现方法1. 硬件设计1.1 选择合适的单片机作为核心控制器,根据需要进行外围电路的设计;1.2 选择合适的数码管作为显示设备,设计驱动电路以及显示控制电路;1.3 选择合适的按钮、旋钮等输入设备,设计输入电路以及操作响应电路;1.4 选择合适的EEPROM芯片,设计存储管理电路实现数据的存储和读取;1.5 选择合适的光敏电阻或光敏二极管,设计光敏控制电路实现显示亮度的调节。

数字钟多功能课程设计

数字钟多功能课程设计

数字钟多功能课程设计一、课程目标知识目标:1. 让学生掌握数字钟的基本原理和组成,理解时钟的时、分、秒显示功能的实现。

2. 使学生了解和掌握数字钟多功能设计的相关知识,如闹钟、计时器、温度显示等。

3. 让学生掌握数字钟程序设计的步骤和方法,学会使用相关软件和编程语言进行程序编写。

技能目标:1. 培养学生动手实践能力,能够独立完成数字钟的搭建和程序设计。

2. 培养学生运用所学知识解决实际问题的能力,能够根据需求为数字钟增加新功能。

3. 提高学生的团队协作能力,学会在项目中进行有效沟通与分工。

情感态度价值观目标:1. 培养学生对电子科技的兴趣和热情,激发创新意识。

2. 培养学生严谨的科学态度,注重实验过程中的细节和精确性。

3. 培养学生珍惜时间、合理安排时间的观念,提高时间管理能力。

本课程针对中学生设计,结合学生年龄特点和知识水平,注重理论与实践相结合,以培养学生的动手实践能力和创新精神为核心。

课程目标明确、具体,可衡量,便于后续教学设计和评估。

在教学过程中,教师应关注学生的个体差异,给予不同层次的学生适当指导,使他们在课程中都能获得成长和收获。

二、教学内容1. 数字钟基本原理:介绍时钟的工作原理,数字显示技术,以及时、分、秒的计数关系。

教材章节:《电子技术》第三章第三节“数字显示技术”。

2. 数字钟的组成:分析数字钟的硬件组成,包括微控制器、时钟芯片、显示模块等。

教材章节:《电子技术》第三章第四节“数字时钟电路”。

3. 数字钟多功能设计:讲解闹钟、计时器、温度显示等功能的设计与实现。

教材章节:《电子技术》第四章“数字时钟应用实例”。

4. 程序设计方法:学习数字钟程序设计的步骤,使用编程软件和编程语言进行程序编写。

教材章节:《计算机编程》第二章“C语言基础”。

5. 实践操作:指导学生动手搭建数字钟电路,编写程序,实现基本功能及拓展功能。

教材章节:《电子技术实验》第五章“数字时钟设计与制作”。

6. 项目评估:评估学生完成项目的质量,包括功能实现、程序优化、团队协作等方面。

多功能数字钟课程设计报告

多功能数字钟课程设计报告

电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。

☆学习数字系统设计中自顶向下的设计方法。

☆加深利用EDA技术实现数字系统的理解。

三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。

☆基本要求1、能够正确的连线及下载。

2、能够完成以秒为最小及时单位的时钟设计。

3、设计完成后的时钟能够正常调整时、分、秒。

三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现一天24h的累计。

译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。

整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。

校时电路是来对“时、分、秒”显示数字进行校对调整。

其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。

实现这两种模数的计数器采用中规模集成计数器74LS90构成。

●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计设计一个多功能数字钟,可以显示时间、日期、温度,并能设置闹钟和倒计时功能。

电子设计:1.采用LED数码管显示时间、日期、温度,可以选择7段数码管或者共阳共阴的数码管。

2.采用实时时钟芯片,能够准确读取时间和日期。

3.通过温度传感器读取室内温度,并在数码管上显示。

4.设计按钮控制系统,包括设置时间、日期、闹钟和倒计时功能。

5.设置闹钟功能,可以设定每天重复或只响一次,并能够自定义铃声。

6.设置倒计时功能,可以设定倒计时时间,并能够显示剩余时间。

7.设计电源接口,可以使用电池或外部电源供电。

硬件设计:1.使用微控制器作为控制中心,控制LED数码管的显示和按钮的输入。

2.将实时时钟芯片连接到微控制器,通过I2C或SPI协议读取时间和日期。

3.连接温度传感器与微控制器,通过模拟输入端口读取温度值。

4.设计按钮接口,将按钮连接到微控制器的GPIO引脚,用于接收用户的操作。

5.设计蜂鸣器接口,通过微控制器生成不同频率的信号,作为闹钟铃声。

软件设计:1.编写微控制器的固件程序,实现读取时间、日期、温度的功能。

2.实现LED数码管的驱动程序,将时间、日期、温度的数值转换成相应的LED显示。

3.编写按钮的中断服务程序,实现按钮的响应和功能切换。

4.设计闹钟功能的逻辑,根据用户的设定时间和铃声选择,启动闹钟。

5.设计倒计时功能的逻辑,根据用户设定的倒计时时间,显示剩余时间并发出提醒。

6.设计设置功能的菜单,通过按钮操作进入不同的设置界面。

7.实现电源管理功能,可以根据用户选择使用电池或外部电源供电。

8.调试和测试系统的各项功能,确保稳定性和准确性。

多功能数字钟的电路设计

多功能数字钟的电路设计

多功能数字钟的电路设计
1.时钟计数器:使用数字逻辑门和触发器组成的计数器电路,用于实
现时钟的计数功能。

计数器需要能够准确地计时,并能够在到达一定计数
值时进行复位操作。

2.时钟显示器:使用数码管显示器来显示当前的时、分、秒。

每个数
码管都需要能够接收计数器输出的数值,并将其转换成对应的数字显示。

3.按键输入:多功能数字钟通常会包括一些功能设置,例如闹钟、日期、温度等。

因此需要设计一个按键输入电路,用于接收用户的按键输入,并实现对应的功能操作。

4.闹钟功能:在设计中可以添加一个闹钟电路,用于在特定时间发出
警报。

这个电路可以通过比较计数器的当前值和闹钟设定的时间值来判断
何时触发警报。

5.温度传感器:如果需要实现温度显示的功能,可以添加一个温度传
感器,将温度值转换成数字信号,并通过数码管显示出来。

6.日期功能:类似于时钟显示器,设计一个可以显示日期的电路。


以通过按键输入来设置日期,并将其显示在数码管上。

7.电源电路:为了供电整个电路,需要设计一个合适的电源电路,可
以通过插座或电池为电路提供稳定的电源。

在电路设计过程中,需要注意的是不同功能模块之间的连接与通讯方式,以及合理的信号处理和控制逻辑。

同时,还要考虑电路的稳定性、抗
干扰能力和功耗等方面的设计要求。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

多功能数字钟的设计与实现项目设计方案

多功能数字钟的设计与实现项目设计方案

多功能数字钟的设计与实现项目设计方案1 数字钟设计功能及方案论证基本功能:1)设计一个数字钟。

要求用六位数码管显示时间,格式为00:00:00。

2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。

3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。

扩展功能:1)设计提供连续触发脉冲的脉冲信号发生器,2)具有校时单元、闹钟单元和整点报时单元。

方案论证:方案一:用逻辑门电路直接搭接数字钟电路,此方案所需元件众多,频率稳定性差,电路复杂,所以不采用此方案。

方案二:用计数器74LS90以及译码器74LS48等芯片组成电路,用555振荡器及分频器产生1Hz信号供计数器技术,较之第一种方案容易实现。

方案三:用单片机实现计数及显示等,这种方案简单明了,电路简单只需要写好程序就可以,容易达到任务要求。

但单片机对个人能力要求较高,鉴于还没有学习单片机方面知识,所以不使用第三种方案。

综上,决定采用第二种方案。

2 设计原理及框图1)计数器电路:计数器电路由秒计数器、分计数器及时计数器构成。

根据设计要求,其中,时计数器为24进制,分计数器及秒计数器为60进制计数器。

2)译码显示电路:由74LS48芯片组成的译码电路将计数器输出的8421BCD码转化为数码管所需的逻辑状态,并为保证数码管正常工作提供足够工作电流。

3)整点报时电路:在数字钟电路出现整点时,数字钟会自动报时,其工作方式是发出连续的音频声波,复杂一些的可以是实时语音或音乐提示。

4)定时闹钟电路:要求可以设定一个指定的时间,是数字钟在指定时刻发出信号,使蜂鸣器“闹时”。

5)555振荡器电路:石英晶体振荡器电路给数字钟提供一个频率稳定的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

6)分频器电路:分频器电路将32768HZ的高频方波信号经分频后得到1Hz的方波信号供秒计数器进行计数。

数字钟原理框图如下:图1.1 数字钟原理框图3 电路模块分析3.1计数器电路计数器电路我选择的是74LS90芯片。

数字钟多功能课程设计

数字钟多功能课程设计

数字钟多功能课程设计一、课程目标知识目标:1. 学生能理解数字钟的基本原理和组成,掌握电子元器件的功能和连接方式。

2. 学生能够运用所学知识设计具有多种功能的数字钟,如闹钟、计时器等。

3. 学生了解数字钟在日常生活和科技领域的应用,拓展知识视野。

技能目标:1. 学生能够运用编程软件进行数字钟程序的编写和调试,提高编程能力。

2. 学生通过动手实践,培养电路搭建和排错能力,提高实践操作技能。

3. 学生能够运用团队协作和沟通技巧,共同完成数字钟的设计和制作。

情感态度价值观目标:1. 学生对电子技术和编程产生兴趣,激发探索精神和创新意识。

2. 学生在课程学习中,培养耐心、细心和责任心,养成良好的学习习惯。

3. 学生通过团队协作,学会分享和互助,培养合作精神和集体荣誉感。

课程性质:本课程为实践性较强的课程,结合理论知识与动手操作,注重培养学生的实际操作能力和团队协作能力。

学生特点:六年级学生具备一定的电子知识和编程基础,好奇心强,喜欢动手实践,但注意力集中时间较短,需要激发兴趣和引导。

教学要求:教师应注重理论与实践相结合,以学生为主体,引导他们自主探究和解决问题。

同时,关注学生的个体差异,给予个性化指导,确保课程目标的达成。

通过课程学习,使学生将所学知识内化为具体的学习成果,提高综合素质。

二、教学内容1. 数字钟原理:介绍数字钟的基本工作原理,包括晶振、计数器、显示器件等组成部分。

- 教材章节:第二章《数字电路基础》- 内容列举:晶振振荡原理、计数器工作原理、显示器件原理。

2. 电子元器件:讲解常用电子元器件的类型、功能及使用方法。

- 教材章节:第三章《常用电子元器件》- 内容列举:电阻、电容、二极管、三极管、集成电路等。

3. 程序设计:学习数字钟程序设计方法,包括编程语言、编程软件的使用。

- 教材章节:第五章《编程语言与程序设计》- 内容列举:C语言基础、编程软件操作、程序调试方法。

4. 电路搭建与排错:实践数字钟电路的搭建和调试,培养动手能力。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
多功能数字钟电路可以用来显示时间、日期、闹钟和定时器等功能。

下面是一个简单的多功能数字钟电路设计,它基于CD4511七段译码器和CD4543 BCD-七段译码器。

1. 时间显示功能
为了显示时间,我们需要使用CD4543 BCD-七段译码器。

该译码器接收来自实时时钟(RTC)模块的BCD编码输出。

RTC模块可以用来跟踪时间和日期,它通常包括一个晶体振荡器、计数器和存储器。

BCD 编码输出通过CD4543译码器转换为七段LED显示。

2. 日期显示功能
类似于时间显示功能,日期显示也需要使用RTC模块。

RTC模块可以提供年份、月份和日期的BCD编码输出。

这些编码输出通过CD4543译码器转换为七段LED显示。

3. 闹钟功能
闹钟功能可以通过计时器和比较器实现。

我们可以使用555定时器作
为计时器,它可以生成一个固定的时间间隔。

然后,我们可以使用一个比较器来比较当前时间和闹钟时间。

如果它们匹配,闹钟就会响起。

4. 定时器功能
定时器功能可以通过555定时器来实现。

我们可以设置计时器的时间间隔,并使用CD4511七段译码器来显示剩余时间。

当定时器完成计时时,它可以触发一个报警器或执行其他操作。

总之,多功能数字钟电路可以实现时间、日期、闹钟和定时器等多种功能。

这些功能可以通过RTC模块、CD4511七段译码器、CD4543 BCD-七段译码器和555定时器等元件来实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目 录引言 (1)1 设计任务及设计要求 (1)1.1设计任务 (1)1.2设计要求 (1)2 设计总体思路 (2)2.1各单元电路设计 (3)2.1.1 second模块 (4)2.1.2 minute模块 (4)2.1.3 hour模块 (4)2.1.4 alert模块 (4)2.1.5 seltime模块 (5)2.1.6 DELED模块 (5)2.2总电路设计 (6)3 设计调试体会与总结 (6)3.1设计调试 (6)3.1.1 管脚锁定 (6)3.1.2 各模块仿真波形图 (7)3.2体会与总结 (9)3.2.1 体会 (9)3.2.2 总结 (9)3.3改进意见 (10)4 实验箱调试现象 (11)附录:VHDL描述 (12)SECOND模块 (12)MINUTE模块 (13)HOUR模块 (14)ALERT模块 (15)SELTIME模块 (16)DELED模块 (17)参考文献 (18)引言EDA技术即电子设计自动化技术,它是以可编程逻辑器件(PLD)为载体,以硬件描述语言(VHDL)为主要的描述方式,以EDA软件为主要的开发软件的电子设计过程。

它主要采用“自顶向下”的设计方法,设计流程主要包括:设计输入、综合、仿真、适配、下载。

《EDA课程设计》(注:EDA即电子设计自动化,Electronics Design Automation)是电子技术基础的一部分,随着可编程器件技术的发展,EDA技术已广泛用于电子系统设计开发中,EDA技术已经成为电子信息类专业人员必须掌握的一门技术。

《EDA课程设计》是继《模拟电子技术基础》、《数字电子技术基础》、《电子技术基础》课程后电气、电子灯专业综合性实验训练课程,重在培养学生对VHDL 语言的理解、EDA软件的使用和简单电子电路设计的思想,提高学生动手能力。

VHDL是一种硬件描述语言,它可以对电子电路和系统的行为进行描述,结合相关的软件工具,可以得到所期望的实际电路与系统。

使用VHDL语言描述的电路,可以进行综合和仿真。

然而,值得注意的是,尽管所有VHDL代码都是可仿真的,但并不是所有代码都能综合。

1 设计任务及设计要求1.1 设计任务利用QuartusII软件设计一个数字钟,对设计电路进行功能仿真,并下载到实验箱中,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。

1.2 设计要求设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校时间,每逢整点,产生报时音报时。

系统框图如图1所示:图1 多功能数字钟系统框图2 设计总体思路此设计问题可分为主控电路、计数器模块和扫描显示三大部,主控电路中各种特殊功能的实现设计问题的关键。

用两个电平信号A、B进行模式选择,AB=00为模式0,系统为计时状态;AB=01为模式1,系统为手动校时状态;AB=10为模式2,系统为闹钟设置状态。

设置一个turn信号,当turn=0时,表示在手动校对时,选择调整分钟部分;当turn=1时,表示在手动校对时,选择调整小时部分。

设置一个change信号,在手动校时或闹钟设置模式下,每按一次,计数器加1。

设置一个reset信号,当reset=0时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。

设置一个闹钟设置信号reset1,当reset1=0 时,对闹钟进行设置,当reset1=0 时,关闭闹钟信号。

设置状态显示信号(连发光二极管):LD_alert指示是否设置了闹铃功能;LD_h指示当前调整的是小时信号;LD_m指示当前调整的是分钟信号。

当闹钟功能设置后(LD_alert=1),系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。

整点报时部分由分和秒计时同时为0(或60)启动,与闹铃共用一个扬声器驱动信号out。

系统计时时钟为clk=1Hz,选择另一时钟clk_lk=1024Hz作为产生闹铃声、报时音的时钟信号。

主控电路状态表如表1-1所示。

硬件系统示意图如图2所示。

图2 数字钟主控电路状态表图3 数字钟硬件系统示意图2.1 各单元电路设计2.1.1 second模块图4 秒控制模块这个模块能够实现60进制循环计数,带有复位端reset、手动调分功能端setmin和向分进位端enmin ,受时钟上升沿信号控制,其文本语言(文件名:second.vhd)为底层文本。

2.1.2 minute模块图5 分控制模块这个模块也能够实现60进制循环计数,带有带有复位端reset、手动调小时功能端sethour和向分进位端enhour ,受时钟上升沿信号控制。

其文本语言(文件名:minute.vhd)为底层文本。

2.1.3 hour模块图6 时控制模块能够实现24进制循环计数,带有复位端reset,受时钟上升沿信号控制。

其文本语言(文件名:hour.vhd)为底层文本。

2.1.4 alert模块图7 闹钟模块能够实现整点报时和循环点亮3只LED灯,工作时受时钟上升沿控制。

其文本语言(文件名:alert.vhd)为底层文本。

2.1.5 seltime模块图8 时间设置模块能够实现逐次扫描秒个位、秒十位、分个位、分十位、时个位、时十位,并输出扫描数据和相应位的点dp,带有复位端reset,受扫描时钟上升沿控制。

其文本语言(文件名:seltime.vhd)为底层文本。

2.1.6 DELED模块图9 数码管段选模块能够实现译码功能,将扫描到的数据能够译码显示在7段数码管上,输出端led[6..0]分别对应数码管的a~g。

其文本语言(文件名:deled.vhd)为底层文本。

2.2 总电路设计图10 多功能数字钟原理图该数字钟主要由3个键控制,复位键reset,当reset=0时,整个系统复位;当reset=1时,系统进行计时或其他功能操作。

sethour,当setour=1时,小时显示固定不动;当setour=0的,可以进行调整小时设置。

setminute,当setminute=1时分钟显示不动,当setminute=0时,可以进行调整分钟设置。

在分钟为59秒时,秒在51秒,53秒,55秒,57秒,59秒发出低音,在整点时发出高音,整点报时。

3 设计调试体会与总结3.1 设计调试3.1.1 管脚锁定图11 管脚锁定3.1.2 各模块仿真波形图图12 SECOND模块波形仿真仿真结果分析:在秒计时器的clk输入一个周期为10ns的时钟信号;清0端(reset)前面一小段(100ns)为低电平,后面均为高电平;置数端(setmin)前面一小段(200ns)为高电平,后面均为低电平; 保存波形图,进行仿真,产生上述波形。

图13 MINUTE模块波形仿真仿真结果分析:在分计时器的clk输入一个周期为10ns的时钟信号;清0端(reset)前面一小段(50ns)为高电平,后面均为低电平;置数端(sethour)前面一小段(80ns)为低电平,后面均为高电平; 保存波形图,进行仿真,产生如下波形:由上述波形可以清楚的看到:当清0信号(reset)无效时,分计时器置数,从50分开始计数,到59秒时回到0,并且从enhour输出一个高电平。

图14 HOUR模块波形仿真仿真结果分析:在时计时器的clk输入一个周期为10ns的时钟信号;清0端(reset)前面一小段(70ns)为低电平,后面均为高电平;保存波形图,进行仿真,产生如下波形:由上述波形可以清楚的看到:当清0信号(reset)无效时,时计时器置数。

图15 ALERT模块波形仿真仿真结果分析:设置minute的值,使其为59分;设置second的值,使其分别为51秒..59秒.00秒.01秒.02秒.03秒……,保存波形图,进行仿真,产生如下波形:由上述波形可以清楚的看到:alarm在51秒.53秒.55秒.57秒.59秒.0秒时输出高电平,并且持续保持minute为59分不变。

图16 SELTIME模块波形仿真仿真结果分析:在时计时器的ckdsp输入一个周期为10ns的时钟信号;清0端(reset)前面一小段(100ns)为高电平,后面均为低电平;给分.时.秒固定的变化值, 保存波形图,进行仿真,产生上述波形:由上述波形可以清楚的看到:当清0信号(reset)无效时,时计时器置数。

图17 DELED模块波形仿真仿真结果分析:输入端S是数码管要显示的字符,输出端A~H与实验箱上的8个数码管从右到左一一对应。

由仿真结果可知,当输入为“0111”时,A、B、C输出高电平,其他输出低电平。

硬件描述中选用了8个数码管。

保存波形图,进行仿真,产生上述波形。

3.2 体会与总结3.2.1 体会由顶层硬件测试结果可知,设计已经满足了预期的实验目标。

可以实现复位选择功能。

但是,这仅仅是EDA技术中的最基础部分,要想把设计应用到实际中还有很多需要学习和改进的地方,只有从基础做起后面才能做的更好。

3.2.2 总结EDA技术作为现代电子设计技术的核心,它依赖功能强大的计算机,在EDA 工具软件平台上,对硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑简化、逻辑分割、逻辑综合、结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

硬件描述语言VHDL是EDA技术的重要组成部分,基于VHDL的设计有一个重要的设计思想:自顶向下设计。

自顶下下设计有许多优点:1)设计人员不受芯片结构的约束,进行最适应市场需求的设计,避免再设计风险,缩短产品的上市周期;2)设计成果的再利用得以保证(IP);3)采用结构化开发手段,一旦系统基本功能结构确定,可以实行多人、多任务并行工作方式;4)选择实现系统的目标器件的类型、规模,硬件结构的自由度更大。

在刚接触EDA课程的时候,面对一种全新的硬件描述语言和软件工具,一开始是什么都不了解,后来通过实验课慢慢掌握了QUARTUE II部分功能的使用。

开始上机做实验时都是通过原理图输入进行设计和仿真,原理图设计主要调用相应模块通过连线将对应端口连在一起,原理图输入并不复杂,因此实验也不难。

在熟悉了基本操作和原理后开始学习VHDL语言描述。

VHDL主要包括库文件、实体和结构体三部分。

实体描述了电路器件的外部情况及各信号端口的基本性质;结构体负责描述电路器件的内部逻辑功能或电路结构。

在VHDL的使用过程中理解了自顶向下的设计思想,熟悉了软件的使用。

因为学校的课时安排有限,所以安排的实验个数也比较有限。

不过这些也并不会影响对这门技术的学习,课后有多种选题供我们选择做课程设计。

相关文档
最新文档