了解音箱中的分频器

了解音箱中的分频器
了解音箱中的分频器

了解音箱中的分频器

在扬声器中,有一个很不起眼的部件,说它不起眼,是因为在扬声器的表面上根本找不到它,一般人除了想深入了解扬声器的外,也几乎没有关注它的时候。而扬声器离开了它,又根本无法工作,它就是分频器。

在播放音乐时,由于扬声器单元自身的能力与结构限制,只用一个扬声器难以覆盖全部频段,而如果把全频段讯号不加分配地直接送入高、中、低音单元中去,在单元频响范围之外的那部分“多余讯号”会对正常频段内的讯号还原产生不利影响,甚至可能使高音、中音单元损坏。因为这个原因,设计师们必须将音讯频段划分为几段,不同频段用不同扬声器进行放声。这就是分频器的由来与作用。

分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的滤波组件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍、明朗、舒适、宽广、自然的音质效果。

从工作原理看,分频器就是一个由电容器和电感线圈构成的滤波网。高音信道只让高频讯号通过而阻止低频讯号;低音通道正好相反,只让低音通过而阻止高频讯号;中音通道则是一个带通滤波器,除了一低一高两个分频点之间的频率可以通过,高频成分和低频成分都将被阻止。

被动分频器的组件组成:L/C/R,即L电感、C电容、R电阻,依照各组件对频率分割的特性灵活运用在分频网络上。

L电感:其特性是阻挡较高频率,只让较低的频率通过,也就称为“低通滤波器(Low Pass Filter)”。通过较低频率的多少是由该“L电感”之电感量来决定,其感抗单位为“μH、mH”代表。电感材质常见有:空心电感、铁淦氧电感、硅钢片电感等。铁淦氧电感、硅钢片电感通常只在需要高电感值而无法由空心电感来获得低直流电阻的场合下才使用,由于铁心电感具有磁饱和而在大电流的场合造成失真的天性,所以铁心电感是一种妥协下的产物。

C电容:其特性与电感刚好相反,也就是阻挡低频率通过,让较高的频率通过,称为“高通

滤波器(High Pass Filter)”。高频率通过多少由C电容的电容量决定。其单位为“μF”。电容材质种类繁多,但用于被动式分频器中则使用无极性电容。电容在被动式分频器中用于中音域及高音域材质上的考虑必须慎重,因为与音质有绝对的相关性,选择电容的材质通常由喇叭单体特性和电容损失因素、相位损失以及价格而决定。

R电阻:并无切割频率的特性,而应用在被动式分频器中是与电感、电容混和搭配,针对特定的频率点和频带来做修正、等化曲线、灵敏度增减的用途。

一个无源分频器,本质上就是几个高通和低通滤波电路的复合体,而这些滤波电路的数量,就是上面所说的“路”。但是在每一个滤波电路中,还有更精细的设计,换句话说,在每一个滤波电路中,都可以分别经过多次滤波,这个滤波的次数,就是分频器的“阶”。一阶分频器也是电感和电容分频的结构,而二阶分频器中的每一路都经过了两次滤波,这个“两次滤波”才是“二阶”的真正含义!

除了一阶分频和二阶分频外,无源分频器还有三阶、四阶乃至六阶分频。采用高阶分频的好处在于其滤波衰减斜率更大,分频效果更好,而且也有利于设计分音补偿电路,并不是“分”得越彻底越干净的分频器就是好分频器,理论上说,分音后的两个讯号曲线在迭加之后,与原曲线完全一致,这才是真正的好分频器。

无源分频器看似简单,不同的设计和生产工艺自然使分频器这个看不起眼的组件在扬声器中产生了效果不一的影响。而这些细节,正是所有Hi-Fi器材必须追求的,这也是高级Hi-Fi 与普通设备的基本区别。

电子分频器要注意的几点问题及故障排除

电子分频器要注意的几点问题及故障排除网络摘编 电子分频器: 电子分频器的主要功能当然就是给不同的音箱分配好不同的工作频率了,当然还有保护音箱的功能,下面说下调整电子分频器时需要注意的几点问题及故障排除: 1、分频点: 在一个2分频的音响系统中,一般情况下分频点放在130Hz附近比较合适,但很多情况下,对分频点的调整实际上不是取决于低音音箱,而是要看中高音或全频音箱。因为低音音箱在300Hz以下工作都可以,但有些中高音和全频音箱由于扬声器口径太小,动态范围不够大,必须在200Hz以上工作才能保证它们的安全,如果此时分频点分在130Hz附近,那么这些中高音音箱工作起来就很危险了,因此在效果和安全当中还是要找一个平衡点。我觉得双15寸的全频主音箱最好不要经过电子分频器;单15寸的主音箱可灵活运用;而单12寸以下的主音箱最好要通过电子分频器,至少在180Hz以上工作才安全。 2、音量控制: 不管是输入电平还是输出电平,调整的时候都要有一个度,不要开的太大。如果是电子分频器上的各个音量旋钮都开到很大了,系统的声压还不够,那就要调整电子分频器前面设备的信号电平或者调整电子分频器下面功放的电平和音量开关了。 3、×10按钮: 有一些电子分频器上有一个: ×10的按钮,大家注意不要轻易按下它。 例如我们的分频点调整在200Hz的话,按下此按钮200×10就变成2000Hz 了,因此除非是需要,否则一般不要按下此按钮。

4、低音模式: 有些电子分频器后面板有一个低音模式的选择,它可以把2路立体声信号混合成1路单声道信号,这样可以减少低音音箱之间的声干涉。大家可以适当利用下。 当然要是低音分频点分的较高,那么低音音箱发出的声音就会有一定的指向性了,此时还是要在2路立体声信号的状态下工作较好。 5、立体声工作模式和单声道工作模式: 目前我们使用的大多数电子分频器都是2分频的居多,考虑到灵活性和多功能性,这些电子分频器的后面板一般会有一个立体声和单声道的工作模式转换开关,如果把此开关放在单声道工作模式下,那么此时这台电子分频器就从一台双通道2分频的电子分频器变成了一台单通道3分频的电子分频器了。因此除非必要,否则不要轻易转换此工作开关,要不然电子分频器后面信号输出口所输出的频率信号就会大不一样了!轻者恶化了音质,重者还会损坏设备! 6、系统中低音信号的输出和中高音信号的输出一定不要搞混了,否则高音信号给了低音音箱,低音信号给了高音音箱,那样南辕北辙的做法音响系统中就真的没有声音出来了,因为频率不对呀!搞不好还会烧坏音箱呢! 电子分频器故障例子: 1、05年朋友在长沙做了一个大型的酒吧,音响系统中共使用了单12寸全频主音箱16只,双18寸重低音音箱22只,还有其它20多只辅助音箱。但开业几天后发现主音箱的单12寸的喇叭坏了2只,开始那里的技术人员以为是正常损坏,更换了2只新的喇叭了事,但后来一个星期内陆陆续续的又坏了6只12寸的全频喇叭,这样就很不正常了,而且除了12寸主音箱发生故障外别的音箱都没有问题。后来我去帮忙检查了下系统,发现那里的电子分频器分的频率太低,我把分频器的分频点从130Hz调高到了230Hz,这样问题就解决了,而且低音效果也比以前好了很多。其实道理很简单: 这个系统中由于要兼顾人声演出,所以采用了对人声表现较好的12寸全频主音箱,开始时电子分频器的分频点在130Hz,这是什么概念呢?就是说系统中

音箱中分频器的选择

音箱中分频器的选择 分频对音箱的重播性能至关重要,若没有最佳参数的分频网络,即使采用最好的扬声单元,也不会有好的效果。 扬声器系统中的分频,多为功率分频网络,对这种分频网络产生影响的有三大要素:1.扬声器音圈阻抗;2.分界频率( cross-over frequency,即分频点);3.分频斜率。常见的分频网络有二分频和三分频两种。

二分频分频网络由高通滤波器和低通滤波器组成,三分频分频网络则增加一个带通滤波器。分界频率对二分频取1~3kHz,三分频取400~600Hz及3~5KHz为宜。分界频率的选择应根据场声器单元的频率响应特性进行,若选择不当,会影响声功率的分配,造成总声压频率特性不平坦。分频点在1kHz以下时,要对相关扬声单元输出声波的相位关系特别注意,还要尽量避开分频点设在3~4kHz间。分频点不好的分频网络,即使将一般元件换为顶级元件,也是没有改善作用的。 分界频率的选取应在低频单元频响的高端与高频单元频响的低端相互重叠区内,并符合高频单元下限频率高一个倍频程以上及低频单元上限频率低一个倍频程以下要求。由于指向性关系,对二分频网络要求中音区的效率要比低音高1~3dB,故分界频率以选得稍低些较有利。另外,由于分频频率的频段衔接处会出现频率叠加,故选择低通波器和高通滤波的分频点时不能完全相同,以适当隔开使曲线在-6dB处相交为宜。 分频网络采用单元件的一阶分频网络衰减斜率为毎倍频程6dB,两个元件组成的两阶分颏网络斜率为12dB/oct。分频网络的分频斜率越陡峭,效果越好,但结构越复杂,由网络产生的相位转移及损耗也越大。一阶分频络可得很好的相位一致性和清晰的声像,适于中高频用,低频可用高阶分频网络,以保证低频的清晰度和控制力。

了解音箱中的分频器

了解音箱中的分频器 在扬声器中,有一个很不起眼的部件,说它不起眼,是因为在扬声器的表面上根本找不到它,一般人除了想深入了解扬声器的外,也几乎没有关注它的时候。而扬声器离开了它,又根本无法工作,它就是分频器。 在播放音乐时,由于扬声器单元自身的能力与结构限制,只用一个扬声器难以覆盖全部频段,而如果把全频段讯号不加分配地直接送入高、中、低音单元中去,在单元频响范围之外的那部分“多余讯号”会对正常频段内的讯号还原产生不利影响,甚至可能使高音、中音单元损坏。因为这个原因,设计师们必须将音讯频段划分为几段,不同频段用不同扬声器进行放声。这就是分频器的由来与作用。 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的滤波组件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍、明朗、舒适、宽广、自然的音质效果。

从工作原理看,分频器就是一个由电容器和电感线圈构成的滤波网。高音信道只让高频讯号通过而阻止低频讯号;低音通道正好相反,只让低音通过而阻止高频讯号;中音通道则是一个带通滤波器,除了一低一高两个分频点之间的频率可以通过,高频成分和低频成分都将被阻止。 被动分频器的组件组成:L/C/R,即L电感、C电容、R电阻,依照各组件对频率分割的特性灵活运用在分频网络上。 L电感:其特性是阻挡较高频率,只让较低的频率通过,也就称为“低通滤波器(Low Pass Filter)”。通过较低频率的多少是由该“L电感”之电感量来决定,其感抗单位为“μH、mH”代表。电感材质常见有:空心电感、铁淦氧电感、硅钢片电感等。铁淦氧电感、硅钢片电感通常只在需要高电感值而无法由空心电感来获得低直流电阻的场合下才使用,由于铁心电感具有磁饱和而在大电流的场合造成失真的天性,所以铁心电感是一种妥协下的产物。 C电容:其特性与电感刚好相反,也就是阻挡低频率通过,让较高的频率通过,称为“高通

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

调音经验4、专业电子分频器的使用技巧

4专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。 这样高、中、低频信号独立输出、互不干涉,因此可以尽可能发挥不同扬声器的工作频段优势,使音响系统中各频段声音重放显得更加均衡一些,使声音更具层次感,使音色更加完美。

分频器

L1与C1组成的低通滤波器将200-54的分频点选在1.5kHz,这里将它的分频点恰当进步,主要是单元特性好,更重要是音频的功率八成都会集在中低频,恰当进步低频单元的截止频率,能够充分发扬单元专长,给出的声响将愈加丰满有力度。若是分频点过低,不光丧失了单元优势,反而还会加剧中频单元的担负,导致振幅过载、失真增大等弊端。 尽管中频单元的有用频响宽达800Hz~10kHz,L2、L3与C2、C 3组成的带通滤波器仅取其 1.5~6kHz的一段频带,这也是它的黄金频段。L4、C4构成的高通滤波器将YDQG5-14的分频点定为6kHz,本单元的下限截止频率也获得较高,将愈加轻松自如地在高频段发扬它的专长。因为合理的挑选分频点,3个单元各自都作业在声功率最高的频带,故体系的归纳灵敏度也要比各单元的均匀特性灵敏度高出1~2dB。 分频器元件少,电路也很简单,关于分频电容器最起码的要求是高频特性好,耗费及容量差错小。当前的聚丙烯CBB无极性电容器的耗费角正切值仅为0.08%~0.1%,高频功能优良,体积小、无感、价廉,完全能担任Hi-Fi体系分频电路的需求。本音箱选用耐压为63V的CBB21、CBB22电容器,9.4 uF的用2只4.7 uF的并联即可。高耐压电容在分频器上无大含义,价钱却成倍上升。不要盲目崇拜那些进口货洋电容,这类电容并不一定能显着改进音质,价钱却高得惊人,有时1只10 uF的电容往往超越一只中低频扬声器单元的价格。 分频线圈L的内阻R0巨细直接关系到传输功率与音质,在胆机中分频器与输出变压器二次侧线圈、扬声器音圈及传输馈线呈串联回

(一)、分频器作用和特点 1、基本分频任务:由于现在音箱的种类很多,系统中要采用什么功病能的、几分频的电子分频器还是要灵活配置的,现在通常用的电子频器有2分频、3分频、4分频等区分,超过4分频就显得太复杂和无实际意义了。当然现在的电声技术日新月异,目前还有一些分频器在分频的同时还可以对音频信号进行一些其它方面的处理,但不管什么类型电子分频器的主要功能和任务当然还是分频 2、保护音箱设备:我们知道不同扬声器的工作频率是不一样的,一般来说口径越大的扬声器其低频特性也越好,频率下潜也越低。就好像在相同情况下,18寸扬声器的低音效果一般会比15寸扬声器的低音效果好些;相反中音部分就要采用较小口径的扬声器了,因为通常情况下现在的纸盆振动式扬声器口径越小发出的声音频率也就越高;以此类推高音部分的振动膜片也应该很小才能发出很高频率的声音来。既然扬声器这么复杂,种类又如此繁多,那么如何保障它们能够安全有效的工作就显得很重要了。电子分频器可以提供不同扬声器各自需要的最佳工作频率,让各种扬声器更合理、更安全的工作。设想一下:假如系统中中高音音箱没有经过电子分频器分频,而是直接使用了全频段的音频信号,那么这些中高音音箱在低频信号的冲击下就会很容易损坏,因此,电子分频器除了分频任务外,正常的使用它更重要的功能还有:保护音箱设备。 3、增加声音的层次感:假如一个音响系统中有很多只不同种类的音箱,的确没有使用电子分频器,不同种类的音箱都使用未经分频的全频信号,那不同音箱之间就会有很多频率叠加、重复的部分,声干涉也会变得很严重,声音就会变得模糊不清,声场也会很差而且话筒还会容易产生声反馈。如果使用了电子分频器进行了合理的分频,让不同音箱处在最佳工作状态下,这样不同音箱之间发出的声音频率范围几乎不会重复了,这样就减少了声波互相干涉的现象,声音就会变得格外清晰,音色也会更好、更具有层次感了! (二)、缺点和不足 1、太多分频选择会导致思想混乱:俗话说有利就有弊,和其它专业音响的周边设备一样,电子分频器也不是十全十美的,有些时候系统中需要分频的音箱多了就会显得很复杂,因为不同的音箱就需要有不同的分频点、不同的工作频率段,对于水平一般的音响师来说,在这样的情况下使用电子分频器分频时会让他们觉得无从下手。因此细心仔细的调整是很重要的,同时我们还可以尽量少用4分频,采用2分频或3分频的方法,这样可以简单些,也会让我们的调整思路变得更加清晰些。 2、使用电子分频器后会导致声效下降:虽然使用电子分频器的优点很多,但由于它硬性的规定了不同音箱的工作频率范围,因此也使得这些音箱的效能受到了限制,没有完全发挥出来,浪费了很大一部分资源。例如:一只双15寸的全频音箱不经过电子分频器时可以发出很正常、较大的声音来,但如果经过了电子分频器分频后在200Hz以上频率工作的话,那这只音箱的丰满度和震撼力就会全没有了,因为此时音箱的低音给电子分频器切掉了。同样情况下我们利用电子分频器也切掉了大部分低音音箱的高音部分,虽然这样音色可能会好听了,但不可否认的是低音音箱也浪费掉了大量的能量。这对于音箱数量较多又注重音色的音响系统来说还无所谓,但如果一套音响系统中音箱数量不多又不注重音色只是要大声些,那此时还是不使用电子分频器现实一些。

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

一文看懂汽车音响分频器接线方法图解

一文看懂汽车音响分频器接线方法图解 分频器原理从电路结构来看,分频器本质上是由电容器和电感线圈构成的LC 滤波网络,高音通道是高通滤波器,它只让高频信号通过而阻止低频信号;低音通道正好相反,它只让低音通过而阻止高频信号;中音通道则是一个带通滤波器,除了一低一高两个分频点之间的频率可以通过,高频成份和低频成份都将被阻止。在实际的分频器中,有时为了平衡高、低音单元之间的灵敏度差异,还要加入衰减电阻;另外,有些分频器中还加入了由电阻、电容构成的阻抗补偿网络,其目的是使音箱的阻抗曲线心理平坦一些,以便于功放驱动。 位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷点,产生交叉失真,它的参数与扬声器阻抗有的直接关系,而扬声器的阻抗又是频率的函数,与标称值偏离较大,因此误差也较大,不利于调整。 将音频弱信号进行分频的设备,位于功率放大器前,分频后再用各自独立的功率放大器,把每一个音频频段信号给予放大,然后分别送到相应的扬声器单元。因电流较小故可用较小功率的电子有源滤波器实现,调整较容易,减少功率损耗,及扬声器单元之间的干扰。使得信号损失小,音质好。但此方式每路要用独立的功率放大器,成本高,电路结构复杂,运用于专业扩声系统。 分频器技术参数第一个,就是分频器的分频点,这个应该不用多说。 第二个,就是所谓分频器的“路”,也就是分频器可以将输入的原始信号分成几个不同频段的信号,我们通常说的二分频、三分频,就是分频器的“路”。 第三个,就是分频器的“阶”,也称“类”。 一个无源分频器,本质上就是几个高通和低通滤波电路的复合体,而这些滤波电路的数量,就是上面所说的“路”。但是在每一个滤波电路中,还有更精细的设计,换句话说,在每一个滤波电路中,都可以分别经过多次滤波,这个滤波的次数,就是分频器的“阶”。

通用分频器基本原理

通用分频器基本原理 整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频器,分频输出信号取得是模N计数中的某一位(不同N值范围会选不同位)。这种方法同样适用于偶数N 分频,但占空比不总是1:1,只有2的n次方的偶数(如4、8、16等)分频占空比才是1:1。这种方法对于奇数、偶数具有通用性。半整数分频器也是在这种方法基础上实现的。除了一个模N计数器,还需要一个异或模块和一个2分频模块。半整数分频器原理如图1所示:半整数分频器设计思想:通过异或门和2分频模块组成一个改变输入频率的脉冲添加电路,也就是说N-0.5个输入信号周期内产生了N个计数脉冲,即输入信号其中的一个含一个脉冲的周期变为含两个脉冲的周期。而这一改变正是输入频率与2分频输出异或的结果。由2分频输出决定一个周期产生两个脉冲有两种方式:当一个输入信号来一个脉冲(前半周期)时,2分频输出变为‘1’,clk_in 取反,后半周期就会产生一个脉冲;2分频输出由‘1’变为‘0’时,clk_in刚把一个周期(前半周期)内低电平变为高电平产生一个脉冲,而后半周期的脉冲与‘0’异或不变。从而实现N-0.5分频。要实现奇数、偶数、半整数通用分频器只需再加一个控制选择信号sel。当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。通用分频器原理如图

2所示:Verilog语言的实现本设计采用层次化的设计方法,首先设计通用分频器中各组成电路元件,然后通过元件例化的方法,调用各元件,实现通用分频器。1、选择异或门模块half_select:modulehalf_select(sel,a,b,c); outputc; inputsel,a,b; xoru1(w,a,b); assignc=sel?w:a; (当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。)endmodule 2、模N计数器counter_n:实现参数化设计N可取2~256,也可增加count位数使N可取更大的值。以N=7为例通过设置sel分别实现奇数7分频和半整数 6.5分频。modulecounter_n(reset,en,clk_in,clk_out,count); parameterN=7;inputreset,en,clk_in;outputclk_out; output[7:0]count;regclk_out;reg[7:0]count; always@(posedgeclk_in)begin if(reset)begin count[7:0]=0;end elseif(en)begin if(count==(N-1))count=0;else count=count1; end end always begin if(N clk_out=count[0]; elseif(N clk_out=count;elseif(N clk_out=count; elseif(N 在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要介绍了FPGA器件的特点和应用范围。接着介绍了通用分频器的基本原理和分类,并以分频比为奇数7

分频扬声器系统分频器电感的精确设计

三分频扬声器系统分频器电感的精确设计 1 引言 扬声器系统的分频器分为前级分频和功率分频2类。前级分频是前级电路中由电子元件产生的分频,再由各自的功放分别驱动高﹑中﹑低音扬声器系统,如图(1a)所示,属于小信号有源分频。而功率分频则是由电感、电容、电阻元件构成的位于功放与扬声器之间的无源分频电路,如图(1b)所示。 采用功率分频的扬声器系统结构简单、成本低,而且又能获得很高的放音质量,因而在现代高保真放音系统中应用最为普遍。其性能的好坏与扬声器的各项指标以及分频电路、电感元件的性能、精度有密不可分的关系,精确计算电感参数便是成功的关键。 2 对分频器电路、元件的要求 (1)电路中电感元件直流电阻、电感值误差越小越好。而且为使频响曲线平坦最好使用空心电感。(2)电路中电容元件损耗尽可能小。最好使用音频专用金属化聚丙烯电容。 (3)使各扬声器单元分配到较平坦的信号功率,且起到保护高频扬声器的作用。 (4)各频道分频组合传输功率特性应满足图2所示特性曲线的要求(P0为最大值,P1为对应分频点f1、f2的值)。分频点处的功率与功率最大值之间幅度应满足P1(=0.3~0.5)P0的范围。 (5)整个频段内损耗平坦,基本不出现“高峰”和“深谷”。 3 分频电感电容参数值的计算

下面以三分频分频器为例说明其参数的计算,如图3所示。

1)计算分频电感L1,L2,L3,L4和分频电容C1,C2,C3,C4。 为了得到理想的频谱特性曲线,理论计算时可取:C1=C4,C3=C2,L1=L3,L4=L2,分频点频率为f1,(f2见图2),则分频点ω1=2πf0,ω2=2πf2。并设想高、中、低扬声器阻抗均相同为RL。每倍频程衰减12 dB。 2)实验修正C1,C2,C3,C4,L1,L2,L3,L4的值 为精确起见,可用实验方法稍微调整C1,C2,C3,C4,L1,L2,L3,L4的值,以满足设计曲线﹙见图2﹚的要求。即通过实验描绘频响曲线,从而得到C1,C2,C3,C4,L1,L2,L3,L4的最佳值。如果没有实验条件,这一步也可不做。求出电容电感的值后就可计算电感值了。 4 最佳结构电感的作用 4.1最佳结构电感的提出 空心分频电感(简称电感)的基本参数是电感量和直流电阻。一般来说,电感量不准会导致分频点偏离设计要求并可能影响扬声器系统的频响,大家都比较重视。然而其直流电阻不宜过大,否则会对音质产生影响。通常人们对此电阻在电路中的影响及其定量要求不甚了解,因此未引起足够重视,对此特作以下简要分析。 以图3的分频网络为例,由于低音单元的分频电感L2与负载R(L低音单元额定阻抗)相串联,因此若L2的阻抗过大,功放输出功率在其上的损耗将增大。同时,功放内阻对低音单元的阻尼作用也将大大减弱。前者影响功放的有效输出功率,后者对音质的影响却无可挽回。由于分频网络中L2的电感量最大,且随分频点的降低而增大,所以L2的直流电阻的影响相当突出。 至于高音单元的分频电感L1,因它未与负载串联,就不存在L2那样的功耗和阻尼问题。但是仍希望其阻抗尽可能小些。因为它与负载并联,起着旁路来自C1的残余低音频成分的作用。若阻值过大,就会影响高音分频网络对低音频的衰减陡度。

通用分频器基本原理

整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频器,分频输出信号取得是模N计数中的某一位(不同N值范围会选不同位)。这种方法同样适用于偶数N 分频,但占空比不总是1:1,只有2的n次方的偶数(如4、8、16等)分频占空比才是1:1。这种方法对于奇数、偶数具有通用性。半整数分频器也是在这种方法基础上实现的。除了一个模N计数器,还需要一个异或模块和一个2分频模块。半整数分频器原理如图1所示:半整数分频器设计思想:通过异或门和2分频模块组成一个改变输入频率的脉冲添加电路,也就是说个输入信号周期内产生了N个计数脉冲,即输入信号其中的一个含一个脉冲的周期变为含两个脉冲的周期。而这一改变正是输入频率与2分频输出异或的结果。由2分频输出决定一个周期产生两个脉冲有两种方式:当一个输入信号来一个脉冲(前半周期)时,2分频输出变为‘1’,clk_in取反,后半周期就会产生一个脉冲;2分频输出由‘1’变为‘0’时,clk_in 刚把一个周期(前半周期)内低电平变为高电平产生一个脉冲,而后半周期的脉冲与‘0’异或不变。从而实现分频。要实现奇数、偶数、半整数通用分频器只需再加一个控制选择信号sel。当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。通用分频器原理如图2所示:Verilog语言的实现本设计采用层次化的设计方法,首先设

计通用分频器中各组成电路元件,然后通过元件例化的方法,调用各元件,实现通用分频器。1、选择异或门模块half_select:modulehalf_select(sel,a,b,c); outputc; inputsel,a,b; xoru1(w,a,b); assignc=sel?w:a; (当sel=‘1’时,clk_in 与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。)endmodule 2、模N计数器counter_n:实现参数化设计N可取2~256,也可增加count位数使N可取更大的值。以N=7为例通过设置sel分别实现奇数7分频和半整数分频。modulecounter_n(reset,en,clk_in,clk_out,count); parameterN=7;inputreset,en,clk_in;outputclk_out; output[7:0]count;regclk_out;reg[7:0]count; always@(posedgeclk_in)begin if(reset)begin count[7:0]=0;end elseif(en)begin if(count==(N-1))count=0;else count=count1; end end always begin if(N clk_out=count[0]; elseif(N clk_out=count;elseif(N clk_out=count; elseif(N 在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要介绍了FPGA器件的特点和应用范围。接着介绍了通用分频器的基本原理和分类,并以分频比为奇数7和半整数的分频器设计为例,介绍了在QuartusII开发软件下,利用Verilog硬件描述语言来设计数字逻辑电路的过程和方法。在数

分频器数字音频处理器功放音响

精心整理 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz 频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再123频率( 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。 这样高、中、低频信号独立输出、互不干涉,因此可以尽可能发挥不同扬声器的工作频段优势,使音响系统中各频段声音重放显得更加均衡一些,使声音更具层次感,使音色更加完美。这也就

是我们为什么使用电子分频器的原因了。 二、电子分频器的作用和特点 通过以上的介绍大家应该对电子分频器有一个大体认识了吧,那么使用分频器还有哪些作用和特点,甚至是缺点呢?根据多年的工作经验我总结了下面几点: (一)、作用和特点 1、基本分频任务:由于现在音箱的种类很多,系统中要采用什么功能的、几分频的电子分频器还是要灵活配置的,现在通常用的电子频器有2分频、3分频、4分频等区分,超过4分频就显得太复杂和无实际意义了。当然现在的电声技术日新月异,目前还有一些分频器在分频的同时还可以对音频信号进行一些其它方面的处理,但不管什么类型电子分频器的主要功能和任务当然还是分频了。 2、 15寸3、 (二) 1 2、 声音来,但如果经过了电子分频器分频后在200Hz以上频率工作的话,那这只音箱的丰满度和震撼力就会全没有了,因为此时音箱的低音给电子分频器切掉了。同样情况下我们利用电子分频器也切掉了大部分低音音箱的高音部分,虽然这样音色可能会好听了,但不可否认的是低音音箱也浪费掉了大量的能量。这对于音箱数量较多又注重音色的音响系统来说还无所谓,但如果一套音响系统中音箱数量不多又不注重音色只是要大声些,那此时还是不使用电子分频器现实一些。 3、分配频率不合理会导致设备损坏:上面说了合理使用电子分频器可以保护设备,同样电子分频器还是一把双刃剑,使用不当的话反而会损害设备:例如我们把从电子分频器里分出的高音信号送给了低音音箱,由于低音喇叭发不出这么高频率的声音来,所以此时的现象就是:高音音箱和低音音箱都不会有声音。如果有些音响师不看原因,只是一味的增加前级信号和后级功放的音量,那结果就是增加再大的音量也没有用。此时还会很容易损害功放,而且要是电平信号大到失真还容易烧坏扬声器,别以为低音音箱没有声音就没有事了,毕竟此时已经有很大的电流在通过

最新三分频扬声器系统分频器电感的精确设计

三分频扬声器系统分频器电感的精确设计

三分频扬声器系统分频器电感的精确设计 1 引言 扬声器系统的分频器分为前级分频和功率分频2类。前级分频是前级电路中由电子元件产生的分频,再由各自的功放分别驱动高﹑中﹑低音扬声器系统,如图(1a)所示,属于小信号有源分频。而功率分频则是由电感、电容、电阻元件构成的位于功放与扬声器之间的无源分频电路,如图(1b)所示。 采用功率分频的扬声器系统结构简单、成本低,而且又能获得很高的放音质量,因而在现代高保真放音系统中应用最为普遍。其性能的好坏与扬声器的各项指标以及分频电路、电感元件的性能、精度有密不可分的关系,精确计算电感参数便是成功的关键。 2 对分频器电路、元件的要求 (1)电路中电感元件直流电阻、电感值误差越小越好。而且为使频响曲线平坦最好使用空心电感。 (2)电路中电容元件损耗尽可能小。最好使用音频专用金属化聚丙烯电容。 (3)使各扬声器单元分配到较平坦的信号功率,且起到保护高频扬声器的作用。

(4)各频道分频组合传输功率特性应满足图2所示特性曲线的要求(P0为最大值,P1为对应分频点f1、f2的值)。分频点处的功率与功率最大值之间幅度应满足P1(=0.3~0.5)P0的范围。 (5)整个频段内损耗平坦,基本不出现“高峰”和“深谷”。 3 分频电感电容参数值的计算 下面以三分频分频器为例说明其参数的计算,如图3所示。 1)计算分频电感L1,L2,L3,L4和分频电容C1,C2,C3,C4。

为了得到理想的频谱特性曲线,理论计算时可取:C1=C4,C3=C2,L1=L3,L4=L2,分频点频率为f1,(f2见图2),则分频点ω1=2πf0,ω2=2πf2。并设想高、中、低扬声器阻抗均相同为RL。每倍频程衰减12 dB。 2)实验修正C1,C2,C3,C4,L1,L2,L3,L4的值 为精确起见,可用实验方法稍微调整C1,C2,C3,C4,L1,L2,L3,L4的值,以满足设计曲线﹙见图2﹚的要求。即通过实验描绘频响曲线,从而得到C1,C2,C3,C4, L1,L2,L3,L4的最佳值。如果没有实验条件,这一步也可不做。求出电容电感的值后就可计算电感值了。 4 最佳结构电感的作用 4.1最佳结构电感的提出 空心分频电感(简称电感)的基本参数是电感量和直流电阻。一般来说,电感量不准会导致分频点偏离设计要求并可能影响扬声器系统的频响,大家都比较重视。然而其直流电阻不宜过大,否则会对音质产生影响。通常人们对此电阻在电路中的影响及其定量要求不甚了解,因此未引起足够重视,对此特作以下简要分析。 以图3的分频网络为例,由于低音单元的分频电感L2与负载R(L低音单元额定阻抗)相串联,因此若L2的阻抗过大,功放输出功率在其上的损耗将增大。同时,功放内阻对低音单元的阻尼作用也将大大减弱。前者影响功放的有效输出功率,后者对音质的影响却无可挽回。由于分频网络中L2的电感量最大,且随分频点的降低而增大,所以L2的直流电阻的影响相当突出。

用Verilog 实现基于FPGA 的通用分频器的设计

基础设计 KnowledgeBase 127 2006.5 / 电子与电脑在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要介绍了FPGA器件的特点和应用范围。接着介绍了通用分频器的基本原理和分类,并以分频比为奇数7和半整数6.5的分频器设计为例,介绍了在QuartusII开发软件下,利用Verilog硬件描述语言来设计数字逻辑电路的过程和方法。 在数字逻辑电路设计中,分频器是一种基本电路。我们常会遇到偶数分频、奇数分频、半整数分频等,在同一个设计中有时要求多种形式的分频。通常由计数器或计数器的级联构成各种形式的偶数分频和奇数分频,实现较为简单。但对半整数分频分频实现较为困难。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。例如:时钟源信号为130MHz,而电路中需要产生一个20MHz的时钟信号,其分频比为6.5,因此根据不同设计的需要,本文利用Verilog硬件描述语言,通过MAX+plus II开发平台,使用Altera公司的FLEX系列EPF10K10LC84-3型FPGA,设计了一种能够满足上述各种要求的较为通用的分频器。 基于查找表(LUT)的FPGA的结构特点 查找表(Look-Up-Table)简称为LUT,LUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。由于LUT主要适合SRAM工艺生产,所以目前大部分FPGA都是 基于SRAM工艺的,而SRAM工艺的芯片在掉电后信息就会丢失,一定需要外加一片专用配置芯片,在上电的时候,由这个专用配置芯片把数据加载到FPGA中,然后FPGA就可以正常工作,由于配置时间很短,不会影响系统正常工作。 也有少数FPGA采用反熔丝或Flash工艺,对这种FPGA,就不需要外加专用的配置芯片。 FPGA(Field programmable Gates Array,现场可编程门阵列)都是可编程逻辑器件,它们是在PAL、GAL等逻辑器件基础上发展起来的。同以往的PAL、GAL相比,FPGA/CPLD的规模比较大,适合于时序、组合等逻辑电路的应用。它可以替代几十甚至上百块通用IC芯片。这种芯片具有可编程和实现方案容易改动等特点。由于芯片内部硬件连接关系的描述可以存放在磁盘、ROM、PROM、或EPROM中,因而在可编程门阵列芯片及外围电路保持不动的情况下,换一块EPROM芯片,就能实现一种新的功能。它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及实时在检验等优点,因此,可广泛应用于产品的原理设计和产品生产之中。几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。在现代电子系统中,数字系统所占的比例越来越大。系 统发展的越势是数字化和集成化,而FPGA作为可编程ASIC(专用集成电路)器件,它将在数字逻辑系统中发挥越来越重要的作用。 通用分频器基本原理 整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频 用Verilog 实现基于FPGA 的 通用分频器 ■ 华北电力大学(北京)信息工程系/唐晓燕,梁光胜,王玮

分频器的结构及接线方法

分频器的结构及接线方法 分频器是指将不同频段的声音信号区分开来,分别给于放大,然后送到相应频段的扬声器中再进行重放。在高质量声音重放时,需要进行电子分频处理。 分频器是音箱内的一种电路装置,用以将输入的模拟音频信号分离成高音、中音、低音等不同部分,然后分别送入相应的高、中、低音喇叭单元中重放。之所以这样做,是因为任何单一的喇叭都不可能完美的将声音的各个频段完整的重放出来。 分频器是音箱中的大脑,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的过滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍、明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频器是音箱中的大脑,分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。 分频器的结构连接高音喇叭的电路:让电流先流过电容器,阻止低频,让高频通过,并且喇叭与一个线圈并联,让线圈产生负电压,那么这个电压对于高音喇叭来说正好是一个电压补偿,于是可以近似地逼真还原声音电流。 连接低音喇叭电路:电流先流过线圈,这样高频部分被阻止,而低频段由于线圈基本没有阻碍作用而顺利通过,同样,低音喇叭并联了一个电容器,就是利用电容器在高频的时候产生一个电压来补偿损失的电压,道理和高音喇叭端是一样的。 可以看出,分频器充分利用的电容器和线圈的特性达到分频。但是,线圈和电容器在各自阻碍的频率段内终究还是消耗了电压的,所以电路分频器会损失一定的声音,其补偿措施也有很多。而电子分频就解决了这个问题,当声音输入到功放之前就先分频,然后对不同的频段使用专门的放大电路进行放大,这样的话声音失真小,还原逼真。但是电路复杂,

音箱分频器的作用

音箱分频器的作用 在音箱中,有一个很不起眼的部件,说它不起眼,是因为在音箱的表面上根本找不到它,一般人除了想深入了解音箱的人外,也几乎没有关注它的时候。而音箱离了它,又根本无法工作。它就是分频器。 在播放音乐时,由于扬声器单元自身的能力与结构限制,只用一个扬声器难以覆盖全部频段,而如果把全频段信号不加分配地直接送入高、中、低音单元中去,在单元频响范围之外的那部分“多余信号”会对正常频段内的信号还原产生不利影响,甚至可能使高音、中音单元损坏。因为这个原因,设计师们必须将音频频段划分为几段,不同频段用不同扬声器进行放声。这就是分频器的由来与作用。 从工作原理看,分频器就是一个由电容器和电感线圈构成的滤波网。高音通道只让高频信号通过而阻止低频信号;低音通道正好相反,只让低音通过而阻止高频信号;中音通道则是一个带通滤波器,除了一低一高两个分频点之间的频率可以通过,高频成分和低频成分都将被阻止。 看似简单,但在实际使用的分频器中,为了平衡高低音单元之间的灵敏度差异,厂家们需要根据不同情况加入大小不一的衰减电阻或是由电阻、电容构成的阻抗补偿网络,不同的设计和生产工艺自然使分频器这个看似不起眼的元件在音箱中产生了效果不一的影响。而这些细节,正式所有HIFI器材必须追求的,这也是HIFI与普通民用设备的基本区别。 全频音箱上限不用切都可以,下限要看音箱尺寸而定。15寸的到60;12寸的到80;10寸的到90超低的上限要根据每个音箱的品质而定,你可以现场感觉听,听到哪里舒服就定哪里。关于超低的下限,我建议分到40以上因为现在的国产超低都是有严重拖尾的现象,40一下也是场所装修严重共振的地方。 分频器设计制作是要看喇叭具体数据的,最简单的是:几寸的喇叭(高音,中低音)两个喇叭的阻抗各是多少欧。还有就是分频点想选择在多少HZ。衰减选择多少?没有这些初级数据一个最简单的分频器都是弄不好的。

相关文档
最新文档