洗衣机定时器Multisim设计电路图

合集下载

洗衣机控制器课程设计

洗衣机控制器课程设计

摘要洗衣机控制器可以控制洗衣机的工作状态,同时对其状态加以显示,可以手动设置工作时间,在洗衣机工作时还可以加以控制,使其在工作和暂停之间进行进行转换。

该控制器启动后,先正转20秒,再暂停10秒,接着反转20秒,再停止,这一功能可以通过计数器的减数功能完成,用四个74LS194即可以实现分秒计数,同时用555定时器组成的多谐振荡器作脉冲产生器接入计数器来实现计数,用LED指示电机转动状态,当设置的时间到时,产生跳变脉冲,触发555定时器组成的单稳态触发器带动蜂鸣器工作,一段时间后停止响动。

本设计电路用Multisim软件进行仿真,它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

Multisim 10是该软件比较新的版本。

本次课程设计主要要求掌握原理图的设计、仿真、安装调试。

关键词:触发器多谢振荡器仿真 MultisimABSTRACTThe washing machine controller can control the working status, and its status, can be manually set time, work in the washing machine work also can be controlled in the work and suspended between the switches. This controller is started, the first 20 seconds, then suspended for 10 seconds, then reverse 20 seconds, then stop, this function can be observed by the counter with complete functions, which can be realized 74LS194 four seconds count, and with more than composed of 555 timing for pulse generator harmonic oscillator access to count, counter LED indicator when setting, motor rotation of time, jumping, triggering pulse 555 timing single steady-state flip-flop, drive buzzer after a period of time to stop shake.This circuit design software simulation with Multisim, it contains the circuit principle diagram of graphic, circuit hardware description language input mode, has the rich simulation analysis ability. Is this software is Multisim 10 new version. This course design main requiring schematic diagram of the design, installation, commissioning and simulation.Keywords: trigger thanks oscillator Multisim simulation目录1 设计任务与要求 (1)1.1 设计任务 (1)1.2 设计要求 (1)2 原理图设计 (2)2.1 总体设计概述 (2)2.1.1 设计总体思路 (2)2.1.2 基本原理 (2)2.1.3系统设计框图 (3)2.2 单元电路设计 (3)2.2.1分、秒计数器的设计 (3)2.2.2秒脉冲发生器 (6)2.2.3循环控制电路 (7)2.2.4单稳态延时电路 (10)2.3总电路设计 (11)3 Multism软件仿真 (14)3.1 Multism软件简介 (14)3.2 Multism软件的仿真应用 (15)3.2.1秒脉冲发生电路的仿真 (15)3.2.2计数器及循环电路的仿真 (15)3.2.3单稳态延时电路的仿真 (17)4 心得体会 (18)参考文献 (19)附录 (20)实物制作 (21)1 设计任务与要求1.1 设计任务设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:(1).洗衣机的工作顺序;启动——>正转20s ——> 暂行10s——> 反转20s—— >暂行10 s——>停止(2). 用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。

洗衣机自动控制电路设计

洗衣机自动控制电路设计

毕业论文题目洗衣机自动控制电路设计院系自动控制系专业电气工程与自动化学生姓名蒋德锋学号 20072340940指导教师钱承山职称副教授二O一一年五月二十日目录1 引言 (1)2 全自动洗衣机电路设计 (2)2.1 方案选择 (2)2.1.1 全自动洗衣机的控制功能要求: (2)2.1.2 实现方法 (3)2.1.3 整机组成框图 (3)2.2 硬件电路设计 (4)2.2.1 全自动洗衣机的逻辑控制总电路 (4)2.2.2 AT89C51单片机 (5)2.2.3 数码管显示电路 (8)2.2.4 电机控制电路 (9)2.2.5 进水阀控制电路 (11)2.2.6 排水阀控制电路 (11)2.2.7 按键及报警电路 (12)2.2.8 洗衣机的暂停功能采用中断处理方式电路 (14)2.3 软件设计 (14)3 Proteus仿真 (16)4 结论 (21)参考文献: (21)致谢 (22)I洗衣机自动控制电路设计蒋德锋南京信息工程大学自动控制系,南京 210044摘要:本文介绍了全自动洗衣机控制电路的设计,是以AT89C51作为核心元件,设计出了洗衣机的控制电路,特别是进行洗衣程序的控制设计。

从这一角度出发,对洗衣机的功能进行分析,设计的全自动洗衣机的主要功能有一下七项:具有强、弱洗涤功能;四种洗衣工作程序,即标准程序、经济程序、单独程序和排水程序;进、排水系统故障自动诊断功能;脱水期间安全保护功能;间歇驱动方式;暂停功能;声音显示功能。

最后对设计的电路进行了仿真,仿真的结果证明了该电路的可行性、合理性,并且给出了图。

关键词:AT89C51;全自动洗衣机;控制电路;Proteus仿真1 引言洗衣机市场经历了最初单杠洗衣机,随后又发展了双杠洗衣机,半自动洗衣机,全自动波轮式洗衣机,滚筒式洗衣机,模糊全自动洗衣机等等,技术发展越来越成熟和稳定,但是也是存在着各自的缺陷。

在国内,双缸洗衣机购买的人已经是越来越少了,滚筒洗衣机和波轮洗衣机将成为市场的主流,使用洗衣机就是图个方便省力,现在的全自动洗衣机都符合人们的要求。

简易洗衣机控制电路的设计

简易洗衣机控制电路的设计

1.Proteus软件简介1.1 概述Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。

它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。

它是目前最好的仿真单片机及外围器件的工具。

虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。

Proteus是世界上著名的EDA 工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。

是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。

在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。

1.2 具有四大功能模块:1.2.1 智能原理图设计(ISIS)丰富的器件库:超过27000种元器件,可方便地创建新元件;智能的器件搜索:通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰;输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。

1.2.2 完善的电路仿真功能(Prospice)Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件;多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入;丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C调试器等;生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动;高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析;1.2.3 独特的单片机协同仿真功能(VSM)支持主流的CPU类型:如ARM7、8051/52、A VR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU类型随着版本升级还在继续增加,如即将支持CORTEX、DSP处理器;支持通用外设模型:如字符LCD模块、图形LCD模块、LED点阵、LED七段显示模块、键盘/按键、直流/步进/伺服电机、RS232虚拟终端、电子温度计等等,其COMPIM(COM口物理接口模型)还可以使仿真电路通过PC机串口和外部电路实现双向异步串行通信;实时仿真:支持UART/USART/EUSARTs仿真、中断仿真、SPI/I2C仿真、MSSP仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真;编译及调试:支持单片机汇编语言的编辑/编译/源码级仿真,内带8051、A VR、PIC的汇编编译器,也可以与第三方集成编译环境(如IAR、Keil 和Hitech)结合,进行高级语言的源码级仿真和调试;1.2.4 实用的PCB设计平台原理图到PCB的快速通道:原理图设计完成后,一键便可进入ARES的PCB设计环境,实现从概念到产品的完整设计;先进的自动布局/布线功能:支持器件的自动/人工布局;支持无网格自动布线或人工布线;支持引脚交换/门交换功能使PCB设计更为合理;完整的PCB设计功能:最多可设计16个铜箔层,2个丝印层,4个机械层(含板边),灵活的布线策略供用户设置,自动设计规则检查,3D 可视化预览;多种输出格式的支持:可以输出多种格式文件,包括Gerber文件的导入或导出,便利与其它PCB设计工具的互转(如Protel)和PCB板的设计和加工。

全自动洗衣机电路原理图

全自动洗衣机电路原理图

全自动洗衣机电路原理图全自动洗衣机就是将洗衣的全过程(泡浸-洗涤-漂洗-脱水)预先设定好N个程序,洗衣时选择其中一个程序,打开水龙头和启动洗衣机开关后洗衣的全过程就会自动完成,洗衣完成时由蜂鸣器发出响声。

全自动洗衣机由洗衣系统和控制电路组成。

其控制电路分为机械和电脑型,电脑型控制电路是以单片机作为控制电路的核心。

图1给出单片机Z86C09组成的全自动洗衣机的控制电路。

Ⅰ.自动洗衣机的洗衣程序洗衣机面板上有4个按钮K1、K2、K5和K6。

K1用于水流选择,分两档:普通水流与柔和水流;K2用于洗衣周期选择,可以选择洗涤、漂洗和脱水三个过程;K5是暂停开关;K6是洗衣程序选择键。

洗衣程序分为标准程序和经济程序。

洗衣机的标准洗衣程序是:洗涤——脱水——脱水——漂洗——脱水——漂洗——脱水。

经济洗衣程序少一次漂洗和脱水过程。

1.涤过程通电后,洗衣机进入暂停状态,以便放好衣物。

若不选择洗衣周期,则洗衣机从洗涤过程开始。

当按暂停开关键K5时,进入洗涤过程。

首先进水阀FV通电,打开进水开关,向洗衣杨供水;当到达预定水位时,水位开关K4接通,进水阀断电关闭,停止进水;电机MO接通电源,带动波轮旋转,形成洗衣水流。

电机MO是一个正反转电机,可以形成往返水流,有利于洗涤衣物。

2.脱水过程洗涤或漂洗过程结束后,电机MO停止转动,排水阀MG通电,开始排水。

排水阀动作时,带动离合器动作,使电机可以带动内桶转动。

当水位低到一定值时,水位开关K4断开,再经过一段时间后,电机开始正转,带动内桶高速旋转,甩干衣物。

3.漂洗过程与洗涤过程操作相同,只是时间短一些。

全部洗衣工作完成后,由蜂鸣器发出音响,表示衣物已洗干净。

Ⅱ.洗衣机控制器的硬件组成原理洗衣机控制器由单片机Z86C09作为控制器的核心所构成,该控制器具有以下特点:(1)具有较强的抗干扰能力,当受到外部强干扰,程序出错时,可以自动使系统复位重新执行程序。

(2)采用无噪声、无电磁干扰的双向晶闸管作为控制元件,控制电磁阀和电机。

洗衣机控制电路

洗衣机控制电路

一、概述本设计要求完成洗衣机控制电路的设计。

控制洗衣机按定时启动、正转20秒、暂停10秒、反转20秒、暂停10秒的洗涤模式不停的循环,到达定时时间后停止。

并要求有数码管显示时间,LED显示状态,声音报警。

通过以上要求,洗衣机洗涤模式是以60秒钟即一分钟作为循环。

计时方式是通过预置时间定时,因而初步设想使用一个六十进制倒计时电路作为核心控制。

预置时间以分为单位,则还需要分计时器,并且要能预置时间。

同时,时间的计时按秒来进行,则需要用一个妙信号发生器。

最后,用两位数码管作为时间显示电路,三个LED灯作为状态显示电路,蜂鸣器作为报警电路。

根据以上分析,设计电路基本框图入下:二、方案论证计时器芯片选择采用74LS192芯片实现中规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加减计数器,它采用8421码二·十进制编码,并具有直接清零、置数。

加/减计数功能,为双时钟芯片,计数采用DOWN时钟,而置数可以采用UP时钟,因而置数显得十分简单,是很好的选择。

显示电路选择显示电路用单位的数码管和相适应的显示译码器,这儿采用共阴极的单位数码管,应用十分简单。

状态显示电路使用三个不同颜色的数码管来表示不同的洗涤状态,通过74LS138作为译码器,来实现该功能。

经过以上分析,计时电路采用四片74LS192实现,两片组成六十进制计数器实现秒信号计数,当计满六十秒后向前借位。

另外两片构成一百进制计数器,来计数秒的借位信号,同时实现预置数功能。

数码管、报警电路和LED灯分别与逻辑电路进行组合,接到74LS192的输出,以完成时间显示、报警和状态显示,洗衣机控制则使用74LS138作为译码输出进行不同的组合,完成控制。

其中显示电路实际连接为:图1 显示电路具体图三、电路设计计时电路我们用四片74LS192来实现分计数和秒计数功能,对于秒信号,我们要的只是减计数,所以我们把两片秒计数的74LS192芯片的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN联在一起。

洗衣机电路图解(洗衣机电路图及工作原理图)

洗衣机电路图解(洗衣机电路图及工作原理图)

洗衣机电路图解(洗衣机电路图及工作原理图)电脑控制型洗衣机的控制系统采用了电脑控制技术,下面以小天鹅 XQB30-8 型全自动洗衣机为例进行介绍。

该机的电气系统图及电路原理图如下所示。

1.工作原理(1)电源电路如上图所示,接通电源开关S 后,市电电压通过C1 滤波后,加到变压器Tr 的一次绕组上,由它降压后输出10V 左右(与市电电压高低有关)的交流电压。

该电压经VD1~VD4全桥整流、C1 滤波产生14V 直流电压,再通过限流电阻 R3、稳压管 VD5、二极管 VD6、调整管 VT1 稳压输出 5.6V 电压。

该电压一路通过 VD8 输出,经 C6 滤波后为操作控制电路供电;另一路通过 VD7 输出,经 C4、C5 滤波后,为微处理器(CPU)IC(14021WFW)等电路供电。

市电输入回路的ZNR 是压敏电阻,它的作用是防止市电电压过高损坏变压器Tr 等元器件。

市电电压升高时,ZNR 击穿,使输入回路的熔断器熔断(图中未画出),实现市电过电压保护。

(2)CPU 电路如图所示,CPU 电路是以IC(14021WFW)为核心构成的,14021WFW 的引脚功能如下表所示。

5V 供电:接通开关 S,待电源电路工作后,由其输出的 5V 电压经电容 C4、C5 滤波,加到 IC 的供电端脚,为 IC 供电。

复位:该机的复位电路由IC 和脚外接的 R22、C10 构成。

该电路在开机瞬间为IC 内的存储器、寄存器等电路提供复位信号,使它们清零复位。

经一段时间的延迟后复位结束,IC 开始工作。

时钟振荡:IC 得到供电后,它内部的振荡器与、脚外接的晶体振荡器 JZ 和移相电容 C11、C12 通过振荡产生时钟信号。

该信号经分频后协调各部位的工作,并作为IC 输出各种控制信号的基准脉冲源。

(3)市电欠电压保护电路如图所示,市电电压经变压器Tr 降压,再通过VD1~VD4 桥式整流、C2 滤波后产生的直流电压,经 R1、R2 分压后产生取样电压。

洗衣机控制电路设计

洗衣机控制电路设计

洗衣机控制电路设计摘要本设计是基于数字电路定时器的洗衣机简易控制电路。

通过预置洗衣机的洗涤时间来自动的正反转和暂停,并且用不同的指示灯来表示洗衣机的正逆暂停三状态。

当到达定时时间后,会自动报警,发出蜂鸣声。

同时,用两位数码管来显示预置时间和洗涤剩余时间。

具有良好的用户界面。

电路主要分为显示电路、秒脉冲发生电路、计时电路、报警状态电路和洗衣机正反转控制电路。

显示电路使用的是两个单位的共阴极八段数码管,使用两片74ls48作为数码管译码芯片。

秒脉冲发生电路采用了使用成熟广泛的555定时器芯片,通过电脑USB插口供电,产生秒信号,作为各芯片的时钟信号。

计时电路使用的是四片十进制可逆计数器74ls192芯片,分别设计为十进制和六进制电路,用于完成时间的定时、计时功能。

报警电路和状态显示电路使用的是简单的74ls04非门、74LS21双4输入与门、74LS125三态门组成的逻辑组合电路,分别控制蜂鸣器和发光二极管,来实现报警和状态显示。

实验通过电脑软件仿真和实物验证,具有良好的可行性,能够稍加修改后用于洗衣机控制电路中,能满足一般的要求。

关键词:洗衣机控制;数字电子控制;定时器目录摘要 (1)1 设计要求分析与方案选择 (3)1.1 设计要求分析 (3)1.1.1洗衣机控制电路原理及电路设计1.2 方案选择 (4)1.2.1 单片机方案 (4)1.2.2 数字电路方案 (5)2 方案总体框图设计 (5)2.1 方案总体设计思路 (5)2.1.1 各模块器件选择 (5)2.1.2 模块总体电路实现 (6)2.2 方案总体电路图 (6)3 电路各模块设计与参数选择 (9)3.1 秒脉冲电路 (9)3.2 计时电路 (11)3.3 显示电路 (13)3.4 状态显示电路 (14)4 仿真调试分析 (18)4.1 仿真软件介绍 (18)4.2 仿真总体电路图分析 (19)4.3 仿真结果分析 (20)5 电路实物验证结果 (20)5.1 实物总体图片和介绍 (20)5.2 实物功能演示 (20)6 方案的分析与总结体会 (20)6.1 方案选择总结与分析 (20)6.2 仿真调试总结与体会 (21)6.3 实物制作总结与体会 (22)6.4 设计优点分析 (22)6.5 设计不足分析 (22)7 参考文献 (22)8 附录 (23)1 设计要求分析与方案选择1.1 设计要求分析设计制作一个洗衣机控制器,具有如下功能:1)采用中小规模集成芯片设计洗衣机的控制定时器,控制洗衣机电机作如下运转:2)洗涤电机用两个继电器控制。

洗衣机定时控制

洗衣机定时控制

1 系统概述1.1选题背景随着电子科学技术的飞速发展,电子技术几乎渗透到各个领域。

它为人们生活,工作,学习,科研等活动带来了很大的方便。

目前,我们已经体会到信息时代所具有的魅力。

在信息时代里,数字电子技术将有着举足轻重的地位。

作为一个电子专业的初学者,我通过对现有的洗衣机控制电路的调查研究发现,在目前的中低档洗衣机控制电路一般都还采用机械式定时器。

机械定时器虽然具有人们所习惯的操作方法,但是它同时具有控制精度低,洗衣时间不直观,机械部件容易出故障等缺陷。

另外,在比较高档的洗衣机中,一般都采用模糊技术或者单片机技术设计的洗衣机控制器,它们使用起来当然很方便,但是由于造价高所以这些控制器一般很难应用于中低档洗衣机中。

为此,我利用现有的比较常用的COMS数字集成电路设计了一个洗衣机数控电路来实现中低档洗衣机的数字控制。

希望它能为人们的生活带来一定的方便。

在日常生活中,洗衣机是必不可少的,这就需要一个定时器来控制它的停转,以节省人的时间。

本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到为止。

实现定时的方法很多,本设计采用将时间预先设置,当定时时间到洗涤过程结束。

1.2 设计原理洗衣机的主要控制电路是一个定时器,它按照一定的洗涤程序控制电机作正向或反向转动。

控制洗衣机电机的运行转向及其运行时间如图1-1所示。

图1-1 系统结构框图1) 用四个数码管显示洗涤的时间,按加法计时的方式对洗涤过程作实时计时显示,直至定时时间到而停机。

用红色发光管作正转,绿反转,黄为暂停。

2) 当定时时间到时,使电机停转的同时,也应有报警指示,以提醒用户注意。

当用户按动控制按钮时,蜂鸣器停止报警的同时,系统清零。

1.3 方案选择1.3.1 方案特点本系统分为五个主要模块,脉冲模块、定时模块、主控模块,显示模块以及报警模块。

洗衣机控制电路设计实例(DXP设计)

洗衣机控制电路设计实例(DXP设计)

洗衣机控制电路设计一、原理图设计流程原理图模板制作→原理图库操作→PCB库操作→PCB板操作。

二、制作原理图模板1.新建工程项目文件(1)选择菜单【文件(F)】/【创建(N)】/【项目(J)】/【PCB项目(B)】命令,新建工程项目文件。

(2)选择菜单【文件(F)】/【另存为(A)】命令保存工程文件,并命名为洗衣机控制电路.PrjPCB.2. 绘制原理图(1)在洗衣机控制电路.PrjPCB工程文件中,选择菜单【文件(F)】/【创建(N)】/【原理图(S)】命令,新建原理图目文件。

(2)选择菜单【文件(F)】/【另存为(A)】命令保存原理图文件,并命名为洗衣机控制电路.SchDoc。

(3)选择菜单【设计(D)】/【文档选项】命令,弹出对话框如下图1.1,图1.1将选项中的方向设置为Landscape,双击“边缘色”后面的颜色选择3号色,双击“图纸颜色”后面的颜色选择18号色;将标准风格设置问“A4”,单击【确认】。

如图1.2.图1.2(4)绘制图纸明细表。

选择菜单【设计(D)】/【文档选项】命令,将“图纸明细表”前面的对勾去掉,隐藏图纸明细表。

在“实用工具”菜单中选择直线,绘制出如图所示的图纸明细表明。

在“实用工具”菜单中选择图标,放置字符串,操作结果如图1.3。

图1.3三、电路原理图设计。

(1)添加元件库。

选择菜单【设计(D)】/【追加/删除原件库(L)】命令,弹出对话框如图2.1:图2.1点击“安装”,选中元件库,一般追加两个常用的元件库,Miscellaneous Connectors.IntLib和Miscellaneous Devices.IntLib。

(2)添加元器件。

先绘制CPU模块,在元件库中查找DS80C310-MCG元件。

点击元件库中的“Search”,出现如图图2.2所示窗口。

输入DS80C310,图2.2选中“路径中的库”,点击“查找”,在元件库中搜索出六个元件库。

选中DS80C310-MCG元件,点击Place,放置元件。

洗衣机控制电路

洗衣机控制电路

洗衣机控制电路设计一、设计要求1.洗衣时间设定范围:1—99分钟4.定时时间内电机工作要求如下:启动二、总体设计原理由于受现有知识的限制,我们不能使用单片机以及编写程序的方法来实现。

只能采用CMOS 和TTL 集成器件等中小型集成块,如计数器,锁存器,与非门,555定时器等构成洗衣机控制电路。

洗衣机的洗衣流程如下:定时(排水加水) 漂洗(脱水排水) (时间到)警报并停机。

电路设计框图如下:三、各单元设计与分析1、多谐振荡器多谐振荡器是一种自激振荡器,产生振荡信号,用于计时。

在许多场合对多谐振荡器的频率稳定性要求严格,一般采用石英晶体振荡器。

但是由于洗衣机对时间的精确度要求不是很高,所以我们采用555定时器接成的1HZ 多谐振荡器。

电路如图:图中是把555定时器接成施密特触发器,在用施密特触发器接成多谐振荡器的方法接成。

其中 R 1=10K Ω,R 2=50K Ω,C 1=10μF ,C 2=0.01μF 把数据带入T=(R 1+2R 2)C 2ln2 ,得 T 约为1s 。

即周期为一秒,输出 1HZ 的信号。

3号管脚即为脉冲信号输出管脚。

2、时钟电路时钟电路采用计数器对输入的1HZ 振荡信号进行计数,从而实现计时。

用十进制计数器接成两个60进制计数器,分别用于计秒和计分。

74ls160等。

这里我们使用的是十进制可逆计数器 74LS192 分、秒计数器的设计用四个74LS192来实现分计数和秒计数功能,要的只是减计数,所以我们把它的UP 端接到高电平上去, DOWN 端接到秒脉冲上;十分秒位上的输入端 B 、C 端接到高电平上,即从输入端置入0110(十进制的6),十秒位的LD 端和借位端B O 联在一起,再把秒位的BO 端和十秒位DOWN 联在一起。

当秒脉冲从秒位的DOWN 端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN ,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。

洗衣机控制电路设计

洗衣机控制电路设计

洗衣机控制电路设计一、设计要求1.洗衣时间设定范围:1—99分钟2.显示漂洗时间(显示分钟就好),时间到后会自动报警3.漂洗时(用发光二极管代替电动机)显示正反转4.定时时间内电机工作要求如下:启动二、总体设计原理对于自动控制,使用单片机是最简单的,但是对于普通的洗衣机控制使用一般器件也可完成。

我们设计的洗衣机控制电路主要采用CMOS和TTL集成器件,如计数器,锁存器,与门,非门,555定时器等构成洗衣机控制电路。

三、各单元设计与分析1、多谐振荡器多谐振荡器是一种自激振荡器,产生振荡信号,用于计时。

在许多场合对多谐振荡器的频率稳定性要求严格,一般采用石英晶体振荡器。

但是由于洗衣机对时间的精确度要求不是很高且555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。

并且555定时器使用成熟,所以我们采用555定时器接成的1HZ多谐振荡器。

把555定时器接成施密特触发器,在用施密特触发器接成多谐振荡器的方法接成。

(见总电路图)2、时钟电路时钟电路采用计数器对输入的1HZ振荡信号进行计数,从而实现计时。

用十进制计数器接成两个60进制计数器,分别用于计秒和计分。

因为整个洗衣时间不会超过1小时,所以不用计小时。

十进制计数器有很多中,如74LS90, 74LS290, 74160等。

中规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加减计数器,它采用8421码二·十进制编码,并具有直接清零、置数。

加/减计数功能,为双时钟芯片,计数采用DOWN时钟,而置数可以采用UP时钟,因而置数显得十分简单,是很好的选择。

(见总电路图)2.1 分、秒计数器的设计一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,我们用四片74LS192 来实现分计数和秒计数功能,我们要的只是减计数,所以我们把它的UP端接到高电平上去, DOWN 端接到秒脉冲上;十分秒位上的输入端B、C 端接到高电平上,即从输入端置入0110(十进制的6),十秒位的LD端和借位端BO 联在一起,再把秒位的BO端和十秒位的DOWN 联在一起。

Verilog课程设计_洗衣机设计电路

Verilog课程设计_洗衣机设计电路

Verilog课程设计----洗衣机控制器设计要求:设计一个电子定时器,控制洗衣机作如下运转:定时启动--->正转20秒-->暂停10秒-->反转20秒-->暂停10秒-->定时未到,回到“正转20秒-->暂停10秒-->反转20秒-->暂停10秒”;若定时到,则停机发出音响信号。

用两个数码管显示洗涤的预置时间(分钟数),按倒计时的方式计时,直到时间到停机;洗涤过程由“开始”信号开始。

三只LED灯表示“正转”、“反转”、“暂停”三个状态。

设计过程中用三个表示状态的寄存器zz(正转)、fz(反转)、pause(暂停),以及三个寄存器表示LED灯,LED1表示zz;LED2表示fz;LED3表示pause。

用data_out1,data_out2显示预置时间。

Beep为音响信号。

实验源程序如下:`timescale 1ns/1ns //源程序的测试文件module tb_wash;parameter DELY=5;reg clk,start,sure;reg[6:0]count0;wire beep,led1,led2,led3,zz,fz,pause;wire [6:0]data_out1,data_out2;wash C1(data_out1,data_out2,beep,led1,led2,led3,zz,fz,pause,start,count0,sure,clk); initialbeginstart=0;sure=0;count0=7'b0000111;#DELY start=1;#(DELY*10) sure=1;#(DELY*20) sure=0;endalwaysbeginclk=0;#(DELY*2) clk=~clk;#(DELY*2) clk=~clk;//产生振荡时钟endinitial#(DELY*3000)$finish;endmodulemodulewash(data_out1,data_out2,beep,led1,led2,led3,zz,fz,pause,start,count0,sure,clk); input clk,sure;//sure用于输入数据之后的确定键,确定后洗衣机开始工作input[6:0]count0;//count0输入洗涤时间input start;//电源开关output [6:0]data_out1,data_out2;//数码管时间输出reg [6:0]data_out1,data_out2;output zz,fz,pause;reg zz,fz,pause;output led1,led2,led3;reg led1,led2,led3;reg[5:0]counts;output beep; //音响reg beep;reg[6:0]count;//计数分钟reg[3:0]countm;//计数秒wire [3:0]bcd_1;reg [3:0]bcd_2;reg signal;//用于控制音响信号initial begindata_out1=7'b0;data_out2=7'b0;counts<=6'b0;countm<=4'b0;count<=count0;bcd_2<=4'b0;zz<=0;fz<=0;pause<=0;beep<=0;led1<=0;led2<=0;led3<=0;signal<=0;endalways@(posedge clk)beginif(sure==1)beginsignal<=1;count<=count0;//对count赋初值endif((count>0)&&(start==1)&&(signal==1))beginif(counts==6'b111100)//60begincounts<=6'b1;count<=count-1;endelsebegincounts<=counts+1'b1;if(counts<=5'b10100) //20beginzz<=1;fz<=0;pause<=0;led1<=1;led2<=0;led3<=0;endelseif(counts<=6'b11110|counts>6'b110010&&counts<=6'b111011)//30 50~59 beginpause<=1;zz<=0;fz<=0;led2<=1;led1<=0; led3<=0;endelsebeginfz<=1;zz<=0;pause<=0;led3<=1;led1<=0; led2<=0;endendendif(signal==1&&count==0)//控制洗涤结束时音响响的时间beginif(countm==4'b1001)beep<=0;elsebegincountm<=countm+1;beep<=1;led2=0;pause=0;endendend//always//show the numberalways@(count)beginif(count>=7'd90)bcd_2<=4'd9;else if(count>=7'd80)bcd_2<=4'd8;else if(count>=7'd70)bcd_2<=4'd7;else if(count>=7'd60)bcd_2<=4'd6;else if(count>=7'd50)bcd_2<=4'd5;else if(count>=7'd40)bcd_2<=4'd4;else if(count>=7'd30)bcd_2<=4'd3;else if(count>=7'd20)bcd_2<=4'd2;else if(count>=7'd10)bcd_2<=4'd1;elsebcd_2<=4'd0;endassign bcd_1=count-bcd_2*4'd10; always@(bcd_1)//数码管个位的显示begincase (bcd_1)4'b0000:data_out1=7'b0111111;//04'b0001:data_out1=7'b0000110;4'b0010:data_out1=7'b1011011;4'b0011:data_out1=7'b1001111;4'b0100:data_out1=7'b1100110;4'b0101:data_out1=7'b1101101;4'b0110:data_out1=7'b1111100;4'b0111:data_out1=7'b0000111;4'b1000:data_out1=7'b1111111;4'b1001:data_out1=7'b1100111;default:data_out1=7'b0000000;endcaseendalways@(bcd_2)//数码管十位的显示begincase (bcd_2)4'b0000:data_out2=7'b0111111;//04'b0001:data_out2=7'b0000110;4'b0010:data_out2=7'b1011011;4'b0011:data_out2=7'b1001111;4'b0100:data_out2=7'b1100110;4'b0101:data_out2=7'b1101101;4'b0110:data_out2=7'b1111100;4'b0111:data_out2=7'b0000111;4'b1000:data_out2=7'b1111111;4'b1001:data_out2=7'b1100111;default:data_out2=8'b0000000;endcaseendendmodule程序编号之后在linux系统的nclaunch里面仿真出来波形如下:波形符合设计的要求。

洗衣机时控电路

洗衣机时控电路

燕山大学EDA课程设计报告书题目:洗衣机时控电路(注:此文件应以同学学号为文件名)一、设计题目及要求1.洗衣机工作时间可在1~15 分钟内任意设定(整分钟数);2.规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,分钟计时器自动减1,直到显示为“0”时电机;4. 停止运转,停运后发出响两秒停一秒的蜂鸣提示;5.电机正转、反转和停转要有指示灯指示,并要有秒数正计时显示。

二、设计过程及内容(一)设计方案1、首先设计一个732进制的分频器frequency模块,来产生1秒的频率做时钟信号。

用3个74160构成,采用整体置数法,将732HZ的时钟脉冲分频为1HZ。

2、设计一个time模块完成“洗衣机工作时间可在1~15分钟任意设定(整分钟数);能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转”的任务。

用2个减法计数器74190使分钟数自动减1,其中一个控制个位,另外一个控制十位。

3、十进制向二进制转换电路模块:设置时间的时候考虑日常生活习惯用十进制数,而数字电路中减法器和显示电路中都使用的是二进制数字,因此设计十进制向二进制转换的电路,用以将设置的0~15分钟十进制数字转换为电路使用的二进制数字,用2片74148实现。

4、设计一个灯控zhuan模块,用于指示洗衣机正转、反转和停转的状态。

在六十秒的周期中前20秒灯L1(指示正转)亮,再十秒灯L3(指示停转)亮,再有20秒灯L2(指示反转)亮,再十秒灯L3亮。

其中用两个74160构成60进制,并采用1个74138译码器实现对指示灯的控制。

5、设计一个display模块,用于对正转、反转和停转进行秒数正计时。

6、设计一个fengming模块,用于完成“停止运转后发出响两秒停一秒的蜂鸣提示”这一要求。

用一个74160构成三进制电路完成蜂鸣器控制。

实验27 洗衣机自动控制的模拟电路

实验27 洗衣机自动控制的模拟电路

实验27 洗衣机自动控制的模拟电路一、实验目的与任务1.了解半自动洗衣机控制电路的组成。

2.学习调试较复杂的系统电路,验证所设计的电路功能。

3.了解分频器、计数器、译码器等中规模数字集成器件的逻辑功能和使用方法。

4.自行设计、连接和调试半自动洗衣机的控制电路。

二、实验电路及原理对洗衣机控制程序的要求如下:1. 接通电源后,数字显示“0”,电动机准备投入运行。

2. 设定电动机的循环次数,可在1~9次循环周期内任意预置。

3. 按下起动开关,电动机开始循环运行,每次循环由四个节拍组成:①电动机正转,持续4秒;②电动机停转,持续1秒;③电动机反转,持续4秒;④电动机停转,持续1秒。

每个循环周期为10秒。

4. 数字显示电动机已经循环的次数。

5. 到达预定循环次数后,电动机停转,并发出音响。

数字显示出最终的循环次数;6. 将起动开关恢复原位,音响停止,准备下一次循环运行。

图5.27.1所示为实现控制程序要求的原理框图,共有三大部分组成:第一部分为开机、停机控制电路。

包括起停控制、脉冲发生器、控制门等三个单元组成。

当接通电源时,起动开关在预备位置,使分频器、计数器及起停控制电路实现清“0”,从而使控制门关闭,电动机停车,数字显示“0”。

按下起动开关,控制门打开,CP1脉冲进入分频器、计数器,电路进入工作状态。

预置的循环次数完成后,输出一个停机信号,关闭控制门,电机实现自动停转。

图中的时钟脉冲也可由实验室的函数发生器提供,以简化实验内容。

图5.27.1 洗衣机控制电路框图第二部分为正反转控制电路。

包括十分频器、译码电路等组成。

分频器的输出信号CP B 送给计数显示电路、译码电路及循环预置电路。

译码电路的输出控制电动机的正反转和停车,238此处可以用发光二极管显示旋转方向及停止。

第三部分为数显和循环次数预置电路。

包括十进制计数器、数显电路、预置电路、波段开关、音响电路等。

当电动机循环次数等于预置数时,预置电路输出停车信号使电动机停转。

EDA-洗衣机控制器设计

EDA-洗衣机控制器设计
沈阳理工大学
2010年 12 月21日
主要内容:
设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止,同时发出提示音。
基本要求:
1、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;
"1000"=> "1111111"
"1001"=> "1111011"
End table;
a<=temp(6);b<=temp(5);c<=temp(4);d<=temp(3);e<=temp(2);f<=temp(1);
g<=temp(0);
end rtl
Begin
Table
Bcd => temp;
"0000"=> "1111110";
"0001"=> "0110000"
"0010"=> "1101101"
"0011"=> "1111001"
"0100"=> "0110011"
"0101"=> "1011011"
"0110"=> "1011111"
"0111"=> "1110000"
2、设计框图
定时时间未到
二、设计步骤和调试过程
1、总体设计电路
洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。
具体电路如下图所示:
2、模块设计和相应模块程序
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档