基于PWM调制的微弱信号检测的毕设论文 (本科).

合集下载

PWM数字直流电机调速毕业设计

PWM数字直流电机调速毕业设计

本科毕业论文(设计)(2015届)PWM 数字直流电机调速院 系 电子信息工程学院专 业 电气工程及其自动化姓 名 *指导教师2014年5月目录目录 (II)摘要 (1)ABSTRACT (2)1绪论 (3)1.1研究背景 (3)1.2国内外研究现状 (2)1.3研究的目的与意义 (2)2系统总体设计 (3)2.1设计要求 (3)2.2系统设计方案 (3)2.2.1系统框图 (3)2.2.2主控芯片选择 (4)2.2.3电机调速模块的选择 (5)2.2.4PWM调速方式的选择 (5)3系统硬件设计 (6)3.1最小系统设计 (6)3.1.1复位电路 (7)3.1.2晶振电路 (7)3.2键盘控制电路 (8)3.3直流电机电机驱动电路 (9)4系统软件设计 (10)4.1主程序流程图 (10)4.2读按键子程序流程图 (11)4.3按键处理子程序流程图 (12)4.4电机控制中断程序流程图 (13)5系统调试与改进 (15)5.1调试与改进 (15)5.2运行结果 (16)结论与展望 (19)致谢 (20)参考文献 (21)附录A:系统原理图 (22)附录B:系统PCB图 (23)附录C:系统源程序 (24)摘要本文是基于对直流电机PWM调速器设计的研究,主要实现对直流电机的控制。

本设计主要是实现PWM调速器的正转、反转、减速、加速、停止的五大操作。

并实现电路的仿真并设计实际电路进行控制。

为实现系统的微机控制,在设计中,采用了STC89C51单片机作为整个控制系统的控制电路的核心部分,驱动模块,实现通过PWM波对电动机转速参数的改变和测量;由命令输入模块、H型驱动模块组成。

采用带中断的独立式键盘作为命令的输入,单片机在程序控制下,不断给电路发送PWM波形,完成电机正反转控制.是通过H型驱动电路,采用PWM调速方式,通过改变PWM的占空比从而改变电动机的电枢电压,进而实现对电动机的调速。

设计的整个控制系统,在硬件结构上采用了大量的集成电路模块,大大简化硬件电路,提高了系统的稳定性和可靠性,使整个系统的性能得到提高。

毕业设计(论文)基于单片机的pwm直流调速系统设计

毕业设计(论文)基于单片机的pwm直流调速系统设计

基于单片机的PWM直流调速系统设计摘要随着时代的进步和科技的发展,电机调速系统在工农业生产、交通运输以及日常生活中起着越来越重要的作用,因此,对电机调速的研究有着积极的意义.长期以来,直流电机被广泛应用于调速系统中,而且一直在调速领域占居主导地位。

基于单片机的直流电机调速系统硬件电路的标准化程度高,制作成本低,且不受器件温度漂移的影响。

其控制软件能够进行逻辑判断和复杂运算。

系统的稳定性好,可靠性高。

直流电动机具有优良的起、制动性能,宜于在广泛范围内平滑调速。

在轧钢机、矿井卷机、挖掘机、金属切削机床、造纸机、高层电梯等领域中得到广泛应用。

本设计是基于单片机控制的PWM直流电机调速系统,系统以AT89C52单片机为核心,以2A、1000r/min小直流电机为控制对象,以L298N为H桥驱动芯片实现速度、电流反馈双闭环。

采用PID控制算法,调节PWM占空比从而控制电机两端电压,以达到调速的目的。

用4*3键盘输入有关控制信号及参数,可以实现电机的启制动、正反转、速度调节。

并在4位LED上实时显示输入参数及动态转速。

关键词:单片机、直流电机、PWM、PIDBased on SCM PWM dc speed control system designAbstractWith the progress of The Times and the development of science and technology, motor speed system in agricultural production, transportation and daily life plays a more and more important role in motor speed, therefore, the research has positive significance. For a long time, have been widely applied in dc motor control system, and has been inhabited areas in speed dominant. Based on SCM dc motor speed control system of high degree of standardization of hardware circuit, low cost, and the temperature drift. Device, The control software to logic and complex operation. The system has good stability and reliability. Dc motor with excellent, braking performance, and in a wide range smooth speed. In the mill, mine machine, excavator, metal cutting machine, paper machine, high-level elevator is widely used in the fields.The design is based on single chip microcomputer control system of dc motor control PWM, by AT89C52 singlechip system, and 1000r/min small double-a dc motor to control, L298N H bridge to drive chip realize speed, double loop current feedback. PID control algorithm, regulate and control PWM occupies emptiescompared to achieve both voltage motor speed. Use 4 * 3 keyboard input signal and the relevant control parameters, can realize the rev brake motor speed regulation, and positive &negative. And in four LED on real-time display input parameters and dynamic speed.Keywords:monolithic integrated circuits, a direct motor,PWM,PID目录基于单片机的PWM直流调速系统设计 (I)摘要 (I)Abstract (II)第一章绪论 (1)1.1课题背景 (1)1.2课题功能 (1)第二章系统硬件电路的设计 (2)2.1 系统总体设计 (2)2.1.1 系统总体设计框图 (2)2.1.2单片机的选择及其简介 (2)2.1.3 其他芯片简介 (6)2.2 PWM信号发生电路设计 (18)2.2.1 PWM的基本原理 (18)2.2.2 PWM信号发生电路设计 (20)2.2.3 H桥芯片的工作原理 (21)2.3 主电路设计 (24)2.4 转速和电流的测量 (24)2.5 AD转换 (26)2.6显示与键盘电路 (26)第三章系统软件程序的设计 (27)3.1 PID控制算法原理及流程图 (27)3.2 系统部分程序的设计 (29)3.2.1 单片机资源分配 (29)3.2.2 程序流程图 (30)结论 (32)参考文献 (34)附录................................................................................................ 错误!未定义书签。

PWM直流电动机调速控制系统毕业设计(论文)

PWM直流电动机调速控制系统毕业设计(论文)

摘要直流电机具有良好的启动性能和调速特性,它的特点是启动转矩大,能在宽广的范围内平滑、经济地调速,转速控制容易,调速后效率很高。

本文设计的直流电机调速系统,主要由51单片机、电源、H桥驱动电路、LED液晶显示器、霍尔测速电路以及独立按键组成的电子产品。

电源采用78系列芯片实现+5V、+15V对电机的调速采用PWM波方式,PWM是脉冲宽度调制,通过51单片机改变占空比实现。

通过独立按键实现对电机的启停、调速、转向的人工控制,LED实现对测量数据(速度)的显示。

电机转速利用霍尔传感器检测输出方波,通过51单片机对1秒内的方波脉冲个数进行计数,计算出电机的速度,实现了直流电机的反馈控制。

关键词:直流电机调速;H桥驱动电路;LED显示器;51单片机ABSTRACTDC motor has a good startup performance and speed characteristics, it is characterized by starting torque, maximum torque, in a wide range of smooth, economical speed, speed, easy control, speed control after the high efficiency. This design of DC motor speed control system, mainly by the microcontroller 51, power supply, H-bridge driver circuits, LED liquid crystal display, the Hall velocity and independent key component circuits of electronic products. Power supply with 78 series chip +5 V, +15 V for motor speed control using PWM wave mode, PWM is a pulse width modulation, duty cycle by changing the MCU 51. Achieved through independent buttons start and stop the motor, speed control, turning the manual control, LED realize the measurement data (speed) of the display. Motor speed using Hall sensor output square wave, by 51 seconds to 1 microcontroller square wave pulses are counted to calculate the speed of the motor to achieve a DC motor feedback control.Keywords: DC motor speed control;H bridge driver circuit;LED display目录摘要 (1)ABSTRACT (1)目录 (2)第1章引言 (3)1.1 概况 (3)1.2 国内外发展现状 (4)1.3 要求 (4)1.4 设计目的和意义 (5)第2章方案论证和选择 (6)2.1 电机调速控制模块 (6)2.2 PWM调速工作方式 (7)2.3 PWM调脉宽方式............................ 错误!未定义书签。

基于单片机的PWM控制方法的精密温度控制_毕业设计(论文)

基于单片机的PWM控制方法的精密温度控制_毕业设计(论文)

毕业论文(设计)中文题目:基于单片机的PWM控制方法的精密温度控制系统设计英文题目:Design of Precise Temperature Control System Based on PWM Control Method ofMicroprocessor摘要本文设计了一种以单片机STC89C52为核心的精密温度控制系统。

它使用一线制数字温度传感器DS18B20采集温度,并通过四位数码管显示实时温度。

通过设置按键,设定恒温运行时的温度值,并显示在数码管上。

单片机采用PID控制算法对测量值和设定值进行处理,计算输出PWM波控制继电器调节发热电路的发热功率,最终控制被控对象温度。

通过原理分析,软硬件设计及实验调试,温度能够实时检测和自动控制,系统的温度控制精度可达到±0.5℃,表明该温度系统比较稳定并且精确,能够实现对温度的精密控制。

关键词:温度控制 MCS-52 DS18B20 PID PWMAbstractA precise temperature control system with the core of microprocessor STC89C52 is designed in this paper. In this system, one-wire digital thermometer DS18B20 is used to transform analog temperature signal to digital signal,through four real-time digital of a digital thermometer temperature.by setting the button,set the thermostat temperature at the time of operation, and digital display of the temperature.Single-chip Microcomputer used PID control algorithm to process the data measurement and data settings,and calculate the PWM signal, is outputted and magnified to drive a solid state relay so that the power of heat circuit is adjusted.Thus the temperature of the object can be control.Through many of theory, design and experiments, the temperature of real-time detection and automatic control test is reached,and the error of this system is ±0.2℃. It show that the system is precise and steady, and control precise temperature.Keywords:Temperature control MCS-52 DS18B20 PID PWM目录1 前言 (1)2 设计理论基础 (2)2.1 PWM控制技术 (2)2.2 数字PID算法 (2)3 系统的方案设计 (4)3.1系统设计内容及要求 (4)3.1.1设计内容 (4)3.1.2设计要求 (4)3.2方案设计的比较与论证 (4)4 系统硬件电路的设计 (6)4.1单片机最小系统模块 (7)4.1.1 单片机STC89C52的简介 (7)4.1.2 单片机系统模块的硬件设计 (10)4.2 功能实现模块 (11)4.2.1 采样模块 (11)4.2.2 按键模块 (13)4.2.3 显示模块 (14)4.4 温度控制模块 (15)5 系统软件设计 (15)5.1 主程序模块 (16)5.2 功能实现模块 (17)5.2.1 温度采样子程序 (17)5.2.2 显示子程序 (18)5.3 运算控制模块 (19)6 系统调试 (19)6.1 Keil软件的简介 (19)6.2 Proteus软件的简介 (20)6.3 软件仿真 (20)6.4 硬件调试 (22)6.5调试结果 (23)7 结论 (25)致谢 (26)参考文献 (27)附录 (28)1 前言温度是众多行业生产中的基础参数之一,也是与人们生活息息相关的一个重要物理量。

(完整版)基于单片机的PWM信号输出系统设计毕业设计

(完整版)基于单片机的PWM信号输出系统设计毕业设计

中国矿业大学本科生毕业论文专业:自动化论文题目:基于单片机的PWM信号输出系统设计中国矿业大学毕业论文任务书任务下达日期:**毕业论文日期:**毕业论文题目:基于单片机的PWM信号输出系统设计毕业论文专题题目:毕业论文主要内容和要求:1、整体方案设计2、硬件电路设计,包括电源、显示、按键等外围电路设计3、软件设计,包括模式选择程序、时间选择程序、显示程序、按键程序等4、应用PROTEUS软件进行仿真指导教师签字:郑重声明本人所呈交的毕业论文,是在导师的指导下,独立进行研究所取得的成果。

所有数据、图片资料真实可靠。

尽我所知,除文中已经注明引用的内容外,本毕业论文的研究成果不包含他人享有著作权的内容。

对本论文所涉及的研究工作做出贡献的其他个人和集体,均已在文中以明确的方式标明。

本论文属于原创。

本毕业论文的知识产权归属于培养单位。

本人签名:日期:中国矿业大学毕业论文指导教师评阅书指导教师评语(①基础理论及基本技能的掌握;②独立解决实际问题的能力;③研究内容的理论依据和技术方法;④取得的主要成果及创新点;⑤工作态度及工作量;⑥总体评价及建议成绩;⑦存在问题;⑧是否同意答辩等):成绩:指导教师签字:年月日中国矿业大学毕业论文评阅教师评阅书评阅教师评语(①选题的意义;②基础理论及基本技能的掌握;③综合运用所学知识解决实际问题的能力;④工作量的大小;⑤取得的主要成果及创新点;⑥写作的规范程度;⑦总体评价及建议成绩;⑧存在问题;⑨是否同意答辩等):成绩:评阅教师签字:年月日中国矿业大学毕业论文答辩及综合成绩答辩情况提出问题回答问题正确基本正确有一般性错误有原则性错误没有回答答辩委员会评语及建议成绩:答辩委员会主任签字:年月日学院领导小组综合评定成绩:学院领导小组负责人:年月日摘要本文研究了如何利用AT89C51单片机控制输出PWM信号。

文中对AT8951单片机概念和PWM信号的原理做了详细的论述,对单片机最小系统中的时钟电路和复位电路进行了充分的分析。

PWM信号发生器的设计——毕业设计论文

PWM信号发生器的设计——毕业设计论文

PWM信号发生器的设计实验/上机报告一、实验目的1、掌握序列发生器和检测器的工作原理;2、初步学会用状态机进行数字系统设计。

二、实验环境Quartus II 7.0 开发系统三、实验内容用状态机设计实现串序列检测器设计,可以用原理图输入法设计序列信号发生器,要求产生序列:0111010011011010;再进行检测设计,若检测到序列:11010则输出为“1”,否则输出为“0”。

并对其进行仿真和硬件测试。

四、实验过程本实验可以分为两部分来设计。

第一步设计序列信号发生器,在这里可以采用模16的计数器74LS161来产生模16的计数,并由它的4位输出可以产生16种状态,由此可以用来设计序列产生器,也可以采用状态机产生序列,本实验用状态机产生序列。

第二步设计序列检测器,这里用状态机设计,如果为真输出1,为假输出为0;第三步设计串行转并行输出,将序列并行输出在LED管上显示。

第四步是设计一个计数脉冲,记录出现所需要的序列的次数。

第五步是将所有模块连接起来,构成一个完整的序列发生和检测设计器。

实验代码:1、序列发生器library ieee;use ieee.std_logic_1164.all;entity xulie_produce is—序列产生电路port(clk,reset:in std_logic;comb_outputs:out std_logic);--序列输出end xulie_produce;architecture behav of xulie_produce istype fsm_st is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15);--状态设计signal current_state,next_state:fsm_st;beginreg:process(reset,clk)—主控时序进程beginif reset ='1'then current_state<=s0;elsif clk='1'and clk'event thencurrent_state<=next_state;end if;end process;com:process(current_state)—主控组合进程begincase current_state iswhen s0 => comb_outputs<='0';next_state<=s1; when s1 => comb_outputs<='1';next_state<=s2; when s2 => comb_outputs<='1';next_state<=s3; when s3 => comb_outputs<='1';next_state<=s4; when s4 => comb_outputs<='0';next_state<=s5; when s5 => comb_outputs<='1';next_state<=s6; when s6 => comb_outputs<='0';next_state<=s7; when s7 => comb_outputs<='0';next_state<=s8; when s8 => comb_outputs<='1';next_state<=s9; when s9 => comb_outputs<='1';next_state<=s10; when s10 => comb_outputs<='0';next_state<=s11; when s11 => comb_outputs<='1';next_state<=s12; when s12 => comb_outputs<='1';next_state<=s13; when s13 => comb_outputs<='0';next_state<=s14; when s14 => comb_outputs<='1';next_state<=s15; when s15 => comb_outputs<='0';next_state<=s0; end case;end process;end behav;2、序列检测器library ieee;use ieee.std_logic_1164.all;entity s_machine is—序列检测电路port(clk,reset:in std_logic;state_inputs:in std_logic;--状态转移控制comb_outputs:out std_logic);检测结果输出end s_machine;architecture behav of s_machine istype fsm_st is (s0,s1,s2,s3,s4,s5);signal current_state,next_state:fsm_st;beginreg:process(reset,clk)主控时序进程beginif reset ='1'then current_state<=s0;elsif clk='1'and clk'event thencurrent_state<=next_state;end if;end process;com:process(current_state,state_inputs)—主控组合进程begincase current_state iswhen s0 => comb_outputs<='0';if state_inputs='1' then next_state<=s1;else next_state<=s0;end if;when s1 => comb_outputs<='0';if state_inputs='1' then next_state<=s2;else next_state<=s0;end if;when s2 => comb_outputs<='0';if state_inputs='0' then next_state<=s3;else next_state<=s2;end if;when s3 => comb_outputs<='0';if state_inputs='1' then next_state<=s4;else next_state<=s0;end if;when s4 => comb_outputs<='0';if state_inputs='0' then next_state<=s5;else next_state<=s2;end if;when s5 => comb_outputs<='1';--检测到11010输出1 if state_inputs='0' then next_state<=s0;else next_state<=s1;end if;end case;end process;end behav;3、串行输出变并行输出library ieee;use ieee.std_logic_1164.all;entity shift is –串行变并行电路port(clk,load,a:in std_logic;din :out std_logic_vector(7 downto 0));--并行输出end shift;architecture behav of shift isbeginprocess(clk,load,a)variable reg8 :std_logic_vector(7 downto 0);beginif clk'event and clk='1'thenif load='1'then reg8(7 downto 1):=reg8(6 downto 0);--load为1时开始装载reg8(0):=a;end if;end if;din<=reg8;end process;end behav;4、计数器设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY CNTM IS –计数电路PORT (CLK,RST,EN:IN STD_LOGIC;a,b,c:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END CNTM;ARCHITECTURE behav OF CNTM ISsignal a1,b1,c1:std_logic_vector(3 downto 0); BEGINPROCESS (CLK,RST,EN)VARIABLE N :INTEGER RANGE 0 TO 1000;BEGINIF RST ='1' THEN N:=0;ELSIF CLK 'EVENT AND CLK='1' THENIF EN = '1' THENIF N<100 THEN N:=N+1;--设计为100计数ELSE N:=0;END IF;END IF;END IF;a1<=conv_std_logic_vector((N/100),4);b1<=conv_std_logic_vector(((N/10)mod 10),4); c1<=conv_std_logic_vector((N mod 10),4);a<=a1;b<=b1;c<=c1;END PROCESS;实验步骤:1、建立工作库文件和编辑设计文件(1)在D盘新建一个文件夹用来保存工程文件(2)打开QuartusⅡ8.0软件,选择菜单File->New->VHDL File,点击OK后在打开的界面下输入已经设计好的程序。

毕业设计(论文)-基于pwm控制直流调速系统的设计[管理资料]

毕业设计(论文)-基于pwm控制直流调速系统的设计[管理资料]

基于PWM控制直流调速系统的设计摘要:本文基于PWM的双闭环直流调速系统进行了研究,并设计出应用于直流电动机的双闭环直流调速系统。

首先描述了变频器的发展历程,提出了PWM调速方法的优势,指出了未来PWM调速方法的发展前景,点出了研究PWM调速方法的意义。

应用于直流电机的调速方式很多,其中以PWM变频调速方式应用最为广泛,而PWM变频器中,H型PWM变频器性能尤为突出,作为本次设计的基础理论,本文将对PWM的理论进行详细论述。

在此基础上,本文将做出SG3525单片机控制的H型PWM变频调速系统的整体设计,然后对各个部分分别进行论证,力图在每个组成单元上都达到最好的系统性能。

关键词:直流调速;双闭环;PWM ;SG3525 ;直流电机引言:目前,随着大功率电力电子器件的迅速发展,交流变频调速技术已日臻成熟并日渐成为实际应用的主流,但这并不意味着传统的直流调速技术已经完全退出了实际应用的舞台。

相反,近几年交流变频调速在控制精度的提高上遇到了瓶颈,于是直流调速的优势就显现了出来。

直流调速仍然是目前最可靠,精度最高的调速方法。

譬如在对控制精度有较高要求的造纸,转台,轮机定位等系统中仍离不开直流调速装置,因此加强对直流调速系统的研究还是很有必要的。

鉴于直流调速系统在国民经济和工农业生产以及国防事业中的重要作用,有必要对直流调速系统作进一步的研究和开发。

1 系统设计的技术要求1)直流电动机:型号:DJ15功率:485W电枢电压:220V电枢电流:额定转数:1600rpm2)调速范围:1-12003)起动时超调量:电流超调量:%5≤i σ;转速超调量: %5≤n σ2 系统设计的整体结构3系统设计PWM 系统的优越性 :2) 开关频率高,电流容易连续,谐波少,电机损耗及发热都较小。

3) 低速性能好,稳速精度高,调速范围广,可达到1:10000左右。

4) 如果可以与快速响应的电动机配合,则系统频带宽,动态响应快,动态抗扰能力强。

基于单片机的PWM调光灯毕

基于单片机的PWM调光灯毕

工学院毕业论文基于单片机的PWM调光灯浙江工商职业技术学院工学院毕业设计毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。

尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。

对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:指导教师签名:日期:使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:1浙江工商职业技术学院工学院毕业设计基于单片机的PWM调光灯摘要:目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。

导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。

更不用说自动控制领域的机器人、智能仪表、医疗器械了。

因此,单片机的学习、开发与应用尤为重要。

而51单片机是各类单片机中最为典型和最具代表性的一种。

本实验是基于MCS-51系列单片机所设计的,以单片机芯片AT89C52作为核心控制器,通过硬件电路的制作以及软件程序的编制,实现PWM控制LED的亮度,由主机和遥控从机两部分组成。

本系统基于红外线无线遥感技术,以高亮度LED灯为光源,以编码解码芯片PT2262/PT2272芯片为从机的核心器件实现红外线远程控制,以STC12C5A60S2单片机作为主机的核心器件主要负责调光,采用PWM节能法来实现对LED灯启停、亮度进行快速而准确地控制。

基于单片机的pwm调光灯设计大学毕业论文毕业设计学位论文范文模板参考资料

基于单片机的pwm调光灯设计大学毕业论文毕业设计学位论文范文模板参考资料

基于单片机的PWM调光灯摘要PWM调光是一种利用简单的数字脉冲,反复开关白光 LED驱动器的调光技术。

应用者的系统只需要提供宽、窄不同的数字式脉冲,即可简单地实现改变输出电流,从而调节白光 LED 的亮度。

PWM 调光的优点在于能够提供高质量的白光,以及应用简单,效率高!例如在手机的系统中,利用一个专用 PWM 接口可以简单的产生任意占空比的脉冲信号,该信号通过一个电阻,连接到驱动器的 EN 接口。

多数厂商的驱动器都支持PWM 调光。

不管用Buck, Boost, Buck-Boost还是线性调节器来驱动LED,它们的共同思路都是用驱动电路来控制光的输出。

一些应用只是简单地来实现“开”和“关”地功能,但是更多地应用需求是要从0到100%调节光的亮度,而且经常要有很高的精度。

设计者主要有两个选择:线性调节LED电流(模拟调光),或者使用开关电路以相对于人眼识别力来说足够高的频率工作来改变光输出的平均值(数字调光)。

使用脉冲宽度调制(PWM)来设置周期和占空度(图1)可能是最简单的实现数字调光的方法,并且Buck调节器拓扑往往能够提供一个最好的性能。

关键词数字脉冲/占空比/驱动电路/线性调节Based on SCM PWM dimming the lightsABSTRACTPWM dimming is a simple digital pulse, the repeated switching of white LED driver dimming technology. Application of the system only needs to provide a wide, narrow different digital pulse, can easily change the output current, thereby regulating the brightness of white light LED. PWM dimming has the advantage of being able to provide high quality white light, and the application of simple, high efficiency! For example, in a mobile phone system, using a special PWM interface can easily generate arbitrary duty cycle of the pulse signal, the signal is passed through a resistor, connected to the drive EN interface. Most manufacturers drive support PWM dimming.For Buck, Boost, Buck-Boost or linear regulator to drive LED, their common ideas are the drive circuit to control the output of light. Some applied simply to achieve the " on" and "off" function, but more application demand is to be from 0 to 100% regulates the brightness of the light, and often have very high precision. The designer has two main options: linear regulator LED current ( analog dimming ), or using the switch circuit with respect to human recognition capacity, a high enough frequency to change the average light output ( digital dimming ). The use of pulse width modulation ( PWM ) to set the cycle and duty cycle ( Figure 1 ) is probably the most simple digital dimming method, and Buck regulator topology can often provide a best performance.KEY WORDS digital pulse ,duty ,drive circuit ,linear regulator目录中文摘要 (I)英文摘要 (II)引言 (1)1 单片机应用技术简介 (2)1.1 单片机内部构成 (2)1.2 单片机的工作原理 (2)2 PWM调光原理简介 (4)2.1 PWM调光技术原理 (4)2.1.1 脉冲宽度调节 (4)2.1.2 PWM对于LED调光的优势 (5)2.2 LED光源的特性 (7)3 电路设计 (8)3.1 电路设计流程图 (8)3.2 主控元件与功能模块介绍 (8)3.2.1 按键功能设计 (8)3.2.2 主控元件单片机 (8)3.2.3 光源模块 (11)3.2.4 电源的选择与连接 (11)3.3 其他元件工作特性 (12)3.3.1 LED发光二极管功能简介 (12)3.3.2 晶振的作用与电路的连接 (13)4 实物装配与调试 (16)4.1 实物装配流程 (16)4.1.1 按照电路原理图焊接组件 (16)4.1.2 元器件清单及型号 (16)4.2 单片机内部程序的编写 (16)4.3 焊接工序注意事项 (16)4.4 调试完善功能 (19)结束语 (19)致谢............................................................................................................ 错误!未定义书签。

pwm控制技术论文

pwm控制技术论文

pwm控制技术论文有些网友觉得pwm控制技术论文难写,可能是因为没有思路,所以小编为大家带来了相关的例文,希望能帮到大家!pwm控制技术论文篇一简介:PWM(Pulse Width Modulation)控制就是对脉冲的宽度进行调制的技术。

即通过对一系列脉冲的宽度进行调制,来等效地获得所需的波形(含形状和幅值)。

通过改变输出方波的占空比来改变等效的输出电压。

广泛的应用于电动机的调速和阀门控制,比如电动车电机调速就是使用这种方式。

脉宽调制(PWM,Pulse Width Modulation)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。

PWM是一种对模拟信号电平进行数字编码的方法。

通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。

关键词:PWM;电力;计算机关于PWM技术基本原理:采样控制理论中有一个重要的理论:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。

冲量即指窄脉冲的面积。

这里所说的效果基本相同,是指环节的输出响应波形基本相同。

如果把各输入波形用傅里叶变换分析,则其低频段非常接近,仅在高频略有差异。

(面积等效原理)这是PWM控制技术的重要基础理论。

特点:开关电源一般都采用脉冲宽度调制(PWM)技术,其特点是频率高、效率高、功率密度高、可靠性高。

然而,由于其开关器件工作在高频通断状态,高频的快速瞬变过程本身就是一电磁骚扰(EMD)源,它产生的EMI信号有很宽的频率范围,又有一定的幅度。

若把这种电源直接用于数字设备,则设备产生的EMI信号会变得更加强烈和复杂。

优点:PWM的一个优点是从处理器到被控系统信号都是数字形式的,在进行数模转换。

可将噪声影响降到最低。

对噪声抵抗能力的增强是PWM相对于模拟控制的另外一个优点,而且这也是在某些时候将PWM用于通信的主要原因。

从模拟信号转向PWM可以极大地延长通信距离。

微弱信号检测的论文

微弱信号检测的论文

2012年8月8日摘要本设计采用TI公司的AD630作为作为整个系统的核心,先通过一个反向加法器将输入的微弱信号和1v左右的强噪声结合,然后经过纯电阻网络衰减,再通过前置放大OPA2134负反馈运放,将加法后的混合信号放大接给微弱信号检测电路,再通过AD630检波和低通滤波电路,将噪声滤除,最后输出微弱信号,通过A/D模块将采样后信号传送给单片机,由单片机控制液晶的输出。

OPA2134,OPA2227采用双电源供电,电压范围+2.5V~+18V之间,采用开关双电源+15V供电,单片机模块电路采用USB 5V供电,液晶电压有单片机供给。

经测试,系统性能稳定,精度达到题目要求。

目录一、系统方案 (1)1.方案的比较与选择 (1)1.1加法器方案 (1)1.2纯电阻分压网络 (1)1.3微弱信号检测电路 (1)1.4显示电路方案 (2)1.5总体思路 (2)二、系统理论分析与计算 (3)2.1加法器的分析 (3)2.2衰减电路的分析 (3)2.3前置放大分析 (3)2.4微弱信号检测输入阻抗分析 (4)三、电路与程序设计 (4)3.1电路设计 (4)3.2电源模块 (4)3.3加法器模块 (5)3.4衰减与前置放大模块 (5)3.5 AD630锁相检测模块 (5)3.6 滤波模块 (6)3.7显示模块 (6)四、测试方案与测试结果 (7)4.1测试方案及测试条件 (7)4.2测试结果完整性 (7)4.3测试结果分析 (7)五、总结展望 (7)附录1:电路原理图 (8)附录2:实物图 (8)附录2:液晶调试程序 (9)微弱信号检测电路(A题)【本科组】一、系统方案1.1、加法器方案加法器选用运放OPA2134的一个运放搭建反向放大器,有“虚短”、“虚断”,可实现两个模拟信号的叠加。

1.2纯电阻分压网络纯电阻分压网络采用电阻分压,纯电阻衰减避免引入多余的噪声源,本设计采用2.4k与20V两电阻分压形式分压1.3微弱信号检测电路方案一:滤波法。

课设论文——基于单片机PWM信号输出课设论文

课设论文——基于单片机PWM信号输出课设论文

摘要随着电力电子技术的飞速发展,PWM技术应用越来越广泛。

同时PWM技术本身也发展迅速,各种新理论层出不穷。

PWM技术结合了电力电子技术、计算机技术、现代控制理论,具有抗干扰性强、效率高、可靠性好等显著优点,已经在交流逆变、开关电源等领域得到广泛应用。

本文在研究PWM技术当前发展状况基础上,设计了一种基于单片机的PWM信号输出系统。

本系统以STC89C52单片机为核心,用扩展按键中断方式输入有关控制信号及参数,可以实现频率、占空比、输出时间可调的直流斩波PWM信号,完成了总体设计后又设计了硬件电路模块,然后采用C语言编程实现了系统功能,完成设计目标。

关键词:电子电力技术;单片机;PWM;信号ABSTARCTAs the developing of power electronic technology, PWM technology has applying to more and more field. At the same time, PWM technology itself has taken a great progress, lots of new theory has emerged. PWM technology takes advantages of power electronic, computer technology, and modern control theory, has strong ability of anti-interference, and is more efficiency, reliable. It has applied to vast field ,such as AC converter, DC chopper.This paper firstly, analysis the situation of PWM technology’development, then, designed a PWM signal output system based on SCM. The system is based on STC89C52. It use buttons to input parameters. It can output single polar PWM signals. The signal’s frequency, duty-cycle, output time can be changed. We also designed the system structure. Following , hardware and software is designed. Every hardware module is designed with the computer, and the software is written in C language.Index terms: power electronic technology;SCM;PWM;signal目录引言 (5)第一章系统总体设计 (5)1.1系统实现的主要功能 (5)1.2系统工作原理 (5)1.3总体构成 (6)1.3.1总体设计框图 (6)第二章系统的硬件设计 (7)2.1关于单片机的最小系统 (7)2.2关于蜂鸣器 (9)2.3关于PWM (9)第三章系统的软件设计 (11)3.1总体程序框架流程图 (11)3.2程序设计及简析 (11)3.21关于串口初始化 (11)3.22关于主函数 (12)3.23关于定时器的中断服务程序 (13)3.24关于蜂鸣器的子程序 (14)3.3程序的测试 (16)第四章调试过程和注意问题 (18)4.1keil编程软件的使用方法 (18)4.2关于STC_ISP_V483软件 (18)4.3关于程序测试 (18)结论 (19)谢辞 (20)参考文献 (21)附录 (22)引言随着社会电子工业发展的步伐越来越快的节奏,我认为作为一名电子类专业的大学生,在校学的专业理论知识固然重要,但是,课程设计也是必不可少的,因为将来我们出到社会工作以后多少都有可能会面向关于电子类的程序设计,因此课程设计重要性也就体现出来了。

基于PWM控制的直流电动机调速系统设计毕业设计论文

基于PWM控制的直流电动机调速系统设计毕业设计论文

毕业论文(设计)题目:基于PWM控制的直流电动机调速系统设计本科生毕业论文(设计)评语(一)黑龙江东方学院本科生毕业论文(设计)评语(二)黑龙江东方学院本科生毕业论文(设计)评语(三)黑龙江东方学院本科生毕业论文(设计)任务书基于PWM控制的直流电动机调速系统设计摘要近年来,随着科技的进步,直流电机得到了越来越广泛的应用,直流具有优良的调速特性,调速平滑,方便,调速范围广,过载能力强,能承受频繁的冲击负载,可实现频繁的无极快速起动、制动和反转,为满足生产过程自动化系统各种不同的特殊要求,对直流电机调速提出了较高的要求,改变电枢回路电阻调速、改变电压调速等技术已远远不能满足实际应用的要求,通过PWM方式控制直流电机调速的方法就应运而生。

本论文主要研究了利用STC 89C52单片机控制PWM信号从而实现对电压改变最后控制直流电机进行调速,并且通过单元模块电路分析了整个系统的工作原理。

以C 语言进行软件编写,通过软件编程对PWM信号占空比进行调节,单片机根据程序进行操作,进一步控制了H桥电路的输入信号,而测速系统把电机转速反馈给单片机通过按键控制其转速,LCD把转速显示出来,从而实现电动机的调速、变向等功能,这种设计方法的电路简单,具有操作简单、非常实用前景和价值。

关键词:直流电机;STC89C52;PWM调速;L298;LCD1602黑龙江东方学院本科毕业论文(设计)PWM DC motor control system designAbstractIn recent years, with advances in technology, the DC motor has been more widely used, DC has excellent speed characteristics, speed is smooth, convenient, wide speed range, overload, able to withstand frequent impact loads,can achieve frequent Promise fast start, brake and reverse, you need to meet a variety of special requirements of the production process automation systems, the higher requirements of the DC motor, change the armature circuit resistance speed, changing the voltage governorthe technology has been far from satisfying the requirements of modern technology, which came into being through PWM control of DC motor speed control.In this thesis, the STC 89C52 control PWM signal in order to achieve the final control of DC motor speed control, and the whole system works through the unit module circuit voltage change. In this thesis, software written in C language, the PWM signal duty cycle adjust by software programming, microcontroller according to the procedures, to further control the input signal of the H-bridge circuit, velocimetry system, the motor speed feedback to the microcontroller through the button control its speed, the LCD, the speed is displayed, enabling the motor speed, and change the function to such as simple circuit of this design method is simple, very practical prospects and value.Keywords:DC motor; STC89C52; the PWM speed control; L298; the LCD1602目录摘要 (I)Abstract (II)第1章绪论 (1)1.1开发背景 .............................................................................................. 1鹅娅尽損鹌惨歷茏鴛賴。

基于PWM技术的开关电源的设计毕业论文(设计).doc.doc

基于PWM技术的开关电源的设计毕业论文(设计).doc.doc

基于PWM技术队开关电源的设计开关电源摒弃与工频变压器,电源在电力电子器件在关闭状态下工作,传统的开关电源,整体效率是非常高的。

由于其体积小,重量轻,效率高,因而发展十分迅速增长的应用范围。

本文是电流开关电源设计的发展趋势完成后, 建立一个反激式转换器主电路设计输入整流/滤波部分,控制器,输出整流滤波电路,反馈网络,启动和电源集成电路和保护电路,然后结合这些部分来完成系统的设计。

低成本,高精度的电路设计。

UC3844是一种高性能的固定频率电流模式控制器。

集成电路的特征在于振荡器,温度补偿的参考,高增益误差放大器,电流检测比较器,和高电流图腾柱输出,它非常适合用于驱动功率MOSFET。

这个开关电源可用于AV85-240V输入电子产品。

这个特殊的开关电源可以提供25-150W的功率输出,它可以在办公室小型分组交换机(PBX)等产品中使用。

关键词:开关电源;PWM;反激变换器PWM switching power supply design technology basedAbstract:The switching power supply has cancelled the conversion depressor that traditional power sources adopt. In power supply,electronic device works in soft switch condition,so the entire efficiency to be very high. Because the volume is small,the weight is light and the efficiency high thus it develops extremely rapidly,the application of the soft switching power supply expands day by day. This paper is a combination of current trends switching power supply design is completed,the paper is mainly built using fly-back converter main circuit.The design consists of six parts:input rectifier /filter section,controller,output rectifier and filter circuit,feedback network,start-up and integrated power supply circuit and protection circuit, then these parts together to complete the system design The circuit design of low cost and high accuracy.UC3844 is a high performance fixed frequency current mode controller,The IC features,with the oscillator, temperature compensated reference,high gain error amplifier, current comparator and high current sampling totem pole output,is ideal for driving power MOSFET devices. This switching power supply can be used for AV85 〜240V input of electronic products. This particular switching power supply can provide 25 〜150W output power, can be used in the office of small packet switches (PBX) and other products.Key Word: Switch Power Supply; PWM; Fly-back converter第1章绪论 (1)1.1开关电源的发展状况 (1)1.2我国开关电源历程 (2)1.3开关电源的技术发展趋势 (3)1.4本文研究的目的 (5)第2章开关电源原理分析 (6)2.1开关电源基木工作原理 (6)2.2开关电源的分类 (6)2.3开关电源的优缺点 (8)2.3.1开关电源的优点 (8)2.3.2开关电源的缺点 (8)2.4 1)觀开关电源的基本原理 (9)第3章方案选择与经济性分析 (11)3.1电容充电器的种类介绍 (11)3.2反激变换器工作原理 (12)3.2.1DCM模式下的反激变换器原理与基本关系 (13)3.2.2CCM模式卜'的基本原理与基本关系 (17)3.3其他各种隔离式变换器的工作原理与比较 (18)3.3. 1正激电路 (18)3.3.2推挽电路 (20)3.3.3半桥电路 (21)第4章电源系统设计 (23)4.1技术指标及主要参数计算 (24)4.2输入整流器/滤波器部分的设计 (26)4.2. 1 EMI 滤波器 (27)4. 2.2浪涌抑制部分 (27)4.2.3单相桥式整流电路和电容滤波电路 (27)4.3控制器 (30)4.4反馈网络 (35)4.5启动和集成供电电路的设计 (36)4.6保护电路 (37)组仑 (39)翻、t (40) (41)第1章绪论1.1开关电源的发展状况开关电源电力电子技术属于他的使用功率变换器通过电源转换电能的转换, 他能满足各种电源的要求。

毕业设计(论文)-单片机控制的PWM直流电机调速系统设计

毕业设计(论文)-单片机控制的PWM直流电机调速系统设计

毕业设计(论文)-单片机控制的PWM直流电机调速系统设计毕业设计(论文)单片机控制的PWM直流电机调速系统设计摘要直流电机是人类最早发明和应用的一种电机。

随着时代的发展,数字电子技术已经普及到我们生活、工作、科研各个领域。

并且在各类机电系统中,由于直流电机具有良好的启动、制动和调速性能,直流电机调速系统已广泛应用于工业、航天领域的各个方面,最常用的直流技术是脉宽调制(PWM)直流调速技术,具有调速精度高,响应速度快,调速范围宽和损耗低的特点。

而利用计算机数字控制也成了直流调速的一种手段,数字控制系统硬件电路的标准化程度高,控制软件能够进行复杂运算,可以实现不同于一般线性调节的最优化、自适应、非线性、智能化等控制规律。

本设计主要介绍了使用微控制器AT89S51的直流电机调速系统。

论文主要介绍了直流电机调速系统的意义、基于单片机控制的PWM直流电机调速方法和PWM基本工作原理以及实现方法,通过对占空比的计算达到精确调速的目的。

主电路主要采用四个小键盘控制AT89S51单片机,将数据传输给单片机并产生脉宽调制信号,然后通过电机驱动芯片L298对小型直流电机进行控制。

本设计还附加了由霍尔开关CS3020、AT89S51单片机、74LS47七段数码管译码芯片和四位LED构成转速检测显示电路。

通过按键的调试可以实现控制直流电机启动、停止、方向和速度。

设计的整个系统,采用了大量的集成电路模块,大大简化了硬件电路,提高了系统的可靠性和稳定性。

最后在软件方面,介绍了主程序、键盘扫描子程序、PWM信号发生程序、测速子程序和显示子程序的编写思路以及具体的程序实现。

关键词单片机AT89S51;直流电机;脉宽调制;转速检测I毕业设计(论文)The Design of PWM Controlled DC Motor SpeedControl System Based On Single ChipAbstractThe DC motor is a kind of motors which was the first invented and applied by human. Along with the development of the ages, the numerical electronics technique has been already made widely available to our life, work, research, each realm. In all kinds of mechanical of speed, due to the DC motor has a good start, brake and the performance of speed, DC motor control system has been widely used in industry, space flight, most commonly used DC speed control technology is a pulse width modulation (PWM) DC speed control technology, which has a high precision, fast response time, high speed range and width of the low loss. The use of computer digital control has become a kind of method of DC speed control system, the hardware circuit of a high degree of standardization, control software to carry out complex operation can be realized,different from the general linear optimization and adjustment of the adaptive, nonlinear, intelligent control low.A speed governing system of DC motor by using AT89S51microcontroller is mainly introduced in my design. This paper introducesthe significance of a speed governing system of DC motor, a kind of method of DC motor speed modification, based on PWM theory by the SCM, the basic theory and the way to implement. Through the computation achieves the precise velocity modulation again to the duty factor the goal. The main circuit is adopted four keypads to control AT89S51 mainly, convey data to AT89S51 produce the signal of Pulse Width Modulation and then, control the DC motor through the electrical machinery L298. This design still is added the circuit of rotational speed measuring and showing formed by CS3020 Hall’sswitch, AT89S51, 74LS47, and four LED. Through the adjustment of the button can control effectively the DC motor of the start and stop, direction and speed. The designII毕业设计(论文)of the whole system has been used the massive integrated circuit module, which can be used to simplify the hardware electric circuit greatly, improve the system reliability, stability.Finally in the software, the main routine, keyboard scan subroutine, PWM signal producing subroutine, velocity measurement subroutine and the demonstration subroutine compilation as well as the specific program are introduced.Keywords SCM AT89S51; DC motor; PWM; Measurement of rotating speed III毕业设计(论文)目录第1章绪论 ..................................................................... .........................................................................11.1 课题研究的背景 ..................................................................... ................................................... 1 1.2 课题研究的目的及意义 ..................................................................... ....................................... 1 1.3 国内外电机控制的研究现状及发展 ..................................................................... ................... 2 1.4 PWM变频调速发展前景 ..................................................................... . (4)1.4.1 异步电动机的调速方法 ..................................................................... . (4)1.4.2 同步电动机的调速方法 ..................................................................... . (5)1.4.3 PWM变频调速 ............................................................................................................... 51.5 课题研究内容及目标 ..................................................................... ........................................... 6 1.6 本章小结...................................................................... .. (7)第2章直流电机调速系统设计 ..................................................................... ......................................... 8 2.1 系统总体方案设计 ..................................................................... .. (8)2.1.1 设计思路 ..................................................................... . (8)2.1.2 总体方案比较与选择 ..................................................................... .. (8)2.1.3 电机调速控制模块方案比较与选择 ..................................................................... .. (9)2.2 基本原理分析 ..................................................................... .. (10)2.2.1 直流电机的调速原理 ..................................................................... (10)2.2.2 直流电机PWM调速原理 ..................................................................... . (11)2.2.3 霍尔效应和原理简介 ..................................................................... .............................. 12 2.3 系统各模块方案的比较与选择 ..................................................................... . (13)2.3.1 键盘的选择 ..................................................................... . (13)2.3.2 显示方式的选择 ..................................................................... .. (14)2.3.3 电机驱动芯片的选择 ..................................................................... (15)2.3.4 测速传感器的选择 ..................................................................... .................................. 16 2.4 系统硬件组成 .......................................................................................................................... 17 2.5 本章小结...................................................................... (17)第3章硬件系统设计 ..................................................................... . (18)3.1 AT89S51单片机特性及管脚说明 ..................................................................... ...................... 183.2 直流电源部分 ..................................................................... ..................................................... 20 3.3 PWM波形发生原理 ..................................................................... ........................................... 21 3.4 电机驱动电路 ..................................................................... ..................................................... 21 3.5 键盘部分...................................................................... (24)3.6 LED显示部分 ..................................................................... ..................................................... 24 3.7 测速部分...................................................................... (25)3.8 复位电路和时钟电路 ..................................................................... ......................................... 27 3.9 整体电路设计 ..................................................................... ..................................................... 28 3.10 本章小结 ..................................................................... .. (28)第4章软件系统的论述 ..................................................................... ................................................... 29 4.1 主程序...................................................................... . (29)IV毕业设计(论文)4.2 键盘扫描子程序 ..................................................................... . (29)4.3 PWM信号发生程序 ..................................................................... . (31)4.4 测速子程序 ..................................................................... (32)4.5 显示子程序 ..................................................................... (34)4.6 本章小结...................................................................... (35)结论 ..................................................................... ........................................................................ (36)致谢 ..................................................................... ........................................................................ (37)参考文献 ..................................................................... .. (38)附录A 译文 ..................................................................... (39)一种用于CMOS集成宽量程的电阻式气敏传感器的高精度温度控制系统 (39)附录B 外文原文 ..................................................................... . (57)附录C 硬件电路原理图 ..................................................................... ................................................. 73 附录D PCB版图及PCB预览图 ..................................................................... ................................... 74 附录E 立体电路图 ..................................................................... (75)附录F 程序清单...................................................................... . (76)V毕业设计(论文)第1章绪论1.1 课题研究的背景直流电机是最常见的一种电机,它已经广泛应用于交通、机械、化工、航空等领域中。

毕业设计(论文)-基于dsp的pwm波形发生器设计[管理资料]

毕业设计(论文)-基于dsp的pwm波形发生器设计[管理资料]

攀枝花学院本科毕业设计(论文)基于DSP的PWM波形发生器设计学生姓名:学生学号: 200320520075院(系)电气信息工程学院年级专业: 03级电子信息工程指导教师:二〇〇七年六月摘要PWM波形发生器在20世纪70年代有了飞速的发展,20世纪80年代,PWM波形发生器已应用到各个工程技术领域,例如在工业控制中可以用它来控制各种电机、电力电子设备、逆变器等,它不管在军用还是在民用系统中都发挥了积极的作用。

本文首先对PWM波形发生器的基本原理和目前国内外的发展状况做了简单介绍,然后介绍了基于DSP的应用系统的开发流程,并对DSP的相关知识做了全面介绍,最后针对目前波形发生器的发展状况和实际生活中的应用,提出了基于DSP的PWM波形发生器的设计方法。

论文完成了基本的硬件电路设计和软件算法设计。

硬件设计方面,基于DSP 芯片的相关特点,采用了DSP的LF2407芯片来完成本课题的硬件电路设计。

软件算法方面,根据要求采用了汇编语言进行程序设计,并给出了相关的源程序以及调试过程,最后对本设计的可行性和性能误差进行了分析。

关键词波形发生器,LF2407芯片,PWM,DSPABSTRACTThe pulse-width modulation (PWM) profile generator had the rapid development in the 20th century, in 1980s, The PWM profile generator has applied each project area of technology, For example, it can be used to control each kind of electrical machinery in the industry, the electric power, electronic installation, the inversion and so on, no matter in military or in the civil system, it has all played the positive role.This article first has made the simple introduction to the PWM profile generator basic principle and the present domestic and foreign development condition, then introduced based on the digital signal processing (DSP) application system development flow, and has made the comprehensive introduction to the DSP related knowledge, finally aimed at present in the development condition and the practical life application proposed based on the DSP PWM profile generator design method.This paper has completed the basic hardware circuit design and the software arithmetic design. The hardware design aspect, according to the DSP chip related characteristic, this paper adopted the LF2407 of DSP chip to achieve the hardware circuit design. The software algorithm aspect, according to request, it adopted the assembly language to carry on the programming, and has produced the related source program as well as the debugging process, finally has carried on the analysis to this design feasibility and the performance error.Key words profile generator, LF2407 chip, pulse-width modulation (PWM) digital signal processing(DSP)目录摘要.......................................................................................................................................... (Ⅰ)ABSTRACT (Ⅱ)1 绪论 (1)引言 (1)课题背景 (1)PWM波形发生器简介 (1)PWM波形发生器的研究发展状况 (2)课题的研究目的和意义 (3)本文的主要研究内容 (3)2 DSP开发流程 (4)DSP简介 (4)DSP开发方案的设计与选择 (5)DSP系统的功能需求分析 (5)DSP算法的验证与模拟 (6)DSP开发工具的选择 (6)DSP系统调试 (7)DSP系统设计开发流程 (7)DSP处理器软、硬件开发工具简介 (9)3 PWM波形发生器的设计方法 (112)常见的设计方法简介 (112)等脉宽PWM法 (113)随机PWM (113)非线性控制PWM (133)等面积法 (143)硬件调制法 (143)空间电压矢量控制PWM (143)矢量控制PWM (154)单元脉宽调制法 (154)本文所采用的设计方法 (15)4 PWM波形发生器的硬件设计 (17)PWM波形发生器硬件结构 (17)PWM波形产生原理 (17)TMS320LF2407的介绍 (17)系统硬件组成 (18)PWM波形产生器的DSP电路设计 (20)5 PWM波形发生器的软件设计 (22)主程序流程图 (22)PWM波形产生器的DSP程序设计 (22)采用通用定时器GPT1产生PWM波形 (23)程序说明及仿真结果 (30)总结 (33)结论 (34)参考文献 (35)附录A:系统总电路图 (36)附录B:DSP系统设计的实现过程 (37)致谢........................................................................................................................................ . (38)1 绪论引言DSP(即数字信号处理器)自20世纪90年代后半期开始,逐渐成为人们关注的焦点。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

学校代码: 11059学号:Hefei University毕业设计(论文)BACH ELOR DISSERTATION论文题目:基于PWM调制的微弱信号检测学位类别:工学学士年级专业:作者姓名:孙悟空导师姓名:完成时间: 2015年5月8号中文摘要工程设计领域中在强噪声环境下对微弱信号的检测始终是个技术难点。

因此,全面地去研究、分析微弱信号在时域、频域等方面的特点,以及微弱信号的检测技术,都非常重要且有意义的。

本文首先介绍了在电子设备中元器件内部因为载流粒子的运动及外部因素导致系统噪声产生的原理。

阐述了在分析研究微弱信号的方法中,时域分析法是目前应用范围最为广泛的分析方法,比如短时Fourier、小波变换。

在此基础上,本文从工程设计的角度重点分析了PWM技术检测微弱信号的原理及实现的方法。

PWM检测技术是利用PWM脉冲对微弱信号的调制, 从而达到进行频谱搬移。

最后,对于调制后的信号,本文中采用带通、全波整形以及低通等三种方式实现了对待调制信号的解调,并在解调端得到最终的解调信号。

在电路仿真方面本文给出了基于Multisim软件的系统电路仿真图。

通过搭建各个模块然后利用仿真电路给出了系统调制解调的各个过程及波形图。

利用示波器对系统调制、解调等模块的波形检测可以发现各个模块的信号波形与理论波形基本吻合,系统的设计满足对微弱信号检测的要求。

关键词:微弱信号检测;频谱搬移;PWM调制AbstractThe detection of weak signal in the field of engineering design is always a technical difficulty.. Therefore, it is very important and meaningful to study and analyze the characteristics of weak signal in time domain and frequency domain and the detection technology of weak signal..In this paper, we first introduce the in Zhongyuan electronic equipment device for load flow particle's motion and external factors lead to system noise principle. In the research of weak signal analysis, time-domain analysis is the most widely used method, such as short time Fourier and wavelet transform.. On this basis, the paper analyzes the principle and the method of the weak signal detection from the angle of the engineering design from the point of view of the engineering design.. PWM detection technology is the use of PWM pulse modulation of the weak signal, so as to achieve the frequency shift. Finally, for modulated signals, this paper by band-pass, full wave shaping and low pass in three ways the treated signal modulation and demodulation, and the final demodulation signal at the end of the demodulation.In the circuit simulation, the paper presents the simulation chart of the system circuit based on Multisim.. By building each module and using the simulation circuit, the process and the waveform of the system modulation and demodulation are given.. Using the oscilloscope system modulation and demodulation module of waveform detection can be found that each module of signal waveform and theoretical waveforms are basically consistent, the design of the system meet the requirements of weak signal detection..Keyword:Weak signal detection ;Frequency shift ;PWM detection目录前言 (1)第一章绪论 (2)第二章微弱信号的检测方法 (3)2.2 时域平均 (4)2.3 时频检测法 (5)2.4 PWM调制检测技术 (6)第三章 PWM调制检测系统设计 (7)3.1 信号调制 (7)3.2信号解调 (7)3.2.1 带通滤波器的设计 (7)3.2.2 全波整流的设计 (10)3.2.3 低通滤波器的设计 (12)第四章系统仿真及总结 (16)4.1仿真环境简介 (16)4.2系统仿真 (17)4.2.1 调制电路部分 (17)4.2.2 系统混入噪声信号图 (20)4.2.3 带通滤波器仿真 (21)4.2.4 整流电路 (22)4.2.5 低通滤波器的仿真 (23)结论 (24)参考文献 (25)致谢 (26)前言若对电子器件(电阻、电容、电感)的两端外加某一数值的直流偏致电压V 时,元器件内部会因外部直流电压的作用,电荷产生定向移动形成电流,但该电流的瞬时值却不是保持固定不变的,它会因元器件内部属性以及外部因素做随机变化。

对于电子元器件两侧的信号测量值,测量者能得到的是直流的电压值、电流值以及由于随机起伏导致的交流电压值、电流这两个成分的相加[3]。

这种起伏涨落是由电子元器件内部载流子的无规律的运动,以及元器件的内部缺陷等对载流子的随机俘获释放和原子晶体势垒对载流子的辐射、散射等多种因素导致的。

在微弱信号研究领域,我们将这种信号的无规律涨落称为噪声。

这种噪声信号可以是电流信号、电压信号以及辐射信号。

在检测这类微弱信号时当样品接入检测电路中,电路不仅仅会有直流电源的作用,检测电路中同时还会伴随产生一定的低频噪声,一般情况下将其视为相应检测系统中的微弱噪声的来源。

噪声信号虽然微弱但其大多数情况下却包含了丰富的信息。

通常情况下,由不同机理特征产生的电流噪声信号一般情况下会具有不同的频率分布特性。

由于一般常见导电样品中微弱信号十分微小,因此在检测时需要利用放大倍数和系数足够大以及频带范围足够宽的放大器电路对微弱噪声进行充分放大才能对样品的微弱信号进行有效地分析。

分析论证表明电子器件噪声和材料中微弱噪声主要是由三类噪声成分组成,分别为白噪声(重要的有热噪声以及散粒噪声)、1/f 噪声以及G-R噪声等。

在工程项目使用中,电子元器件的低频微弱噪声大致可分为如下四类:热噪声、g-r噪声、1/f噪声以及散粒噪声。

这四种噪声的性质特征各不相同,理论分析也不尽相同,通常噪声测试技术在实际生活应用中主要考虑的有如下两种:g-r噪声以及1/f噪声。

这两种是最常考虑的,这是由于这两种噪声和器件的属性缺陷特征直接相关,这些噪声信号为低频微弱噪声分析、研究领域之中的重要研究方向。

第一章绪论由于信息科学技术以及生产力的不断提升,人们越来越想去获得一些现实量的微小改变。

例如:微弱电压或电流,细小温度更新,细微的偏移,细小的抖动,等等。

尤其是在特殊周围环境因素下对细微信号的观察。

一般说来,可以通过利用传感器装置去将微小信号量的变化进行处理,从而有利于信号的放大以及记录分析。

但是如果把实际遇到的细小量的改变用传感器将其改变成相应电信号,在对这些细小的变化信号进行分析时,噪声则是分析微弱信号中需要解决问题。

因为物体大多是由携有一定能量的粒子构成,而且物体通常情况下都是以特定的属性置于相应的环境中。

携有能量的粒子的运动会形成相应的热噪声[5]。

此外,在电子硬件系统里由于半导体物质里的能量粒子因聚集和重新生成而形成的微弱噪声,和由于半导体外部变化产生变化噪声,导致这些干扰引起的噪声压值会把所需要的有价值的微弱信号埋藏在无用的干扰中。

排除以上的这些情况之外,很多研究者认为影响还存在于外界自然。

这些影响因素均出自电子硬件系统之外。

一般来说能够利用噪声滤除的手段让干扰变到合适的要求点。

但是,在现实的检测中,如果想合理的滤除外来的无用噪声,是非常不容易做到的。

微弱信号检测技术主要是分析、探讨、观测科研及实验过程中所遇到的众多物理信号量的细小改变,提出质疑,并完成如何在干扰的情况下获得所需要的微弱信号。

相对于普通的放大器件来说,因为微弱噪声、外界干扰以及有效信息值都掺和在共同的系统,因此在放大的过程中,放大器将无用的噪声、干扰信号以及有效信号全都放大,这样的结果对微弱信号的检测分析产生了严重的干扰,甚至使有用的信号失真。

假使微弱的众多影响因素的物理量值都比所需要的有效微弱信号量值大,经过放大电路后,是无法提取所需的有效信息。

而且,放大器的输出信号同样也包含了放大器元器件本身的噪声,这样的结果就是使有用信号被噪声淹没的更加的严重。

即使假设放大器是优良的,忽略器件自己的内部影响,这也只可以保证器件输入与输出的信噪比。

近数十年来,信息论、电子传感器等学科在对微弱信号以及噪声的自身统计、属性特征方面都做了很多的探索与分析。

这些理论研究的结论与经验为检测、分析淹没在噪声背景里的微弱信号的提取提供了理论依据。

同时总结出了实际工作中在噪声的自身属性不同的条件下,利用特定的检测系统在一定的误差允许范围之内检测噪声中我们所需要的实际微弱信号的方法。

第二章微弱信号的检测方法微弱信号的检测是基于电路理论技术、信号的传递技术、现代化超高速计算等学科知识领域的理论,其适用于研究外界以及自身内部干扰形成的微弱信号。

相关文档
最新文档