元件例化语句块语句和生成语句

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

元件调用语句,调用元 件an2、o3、n1, U1~U5为元件标号
元件定义语句, 将实体an2、o3 和n1定义为元件
二、元件例化语句(P73-75)

作用
将事先设计好的实体看作是一个“元件”,在新 的设计中调用这个元件,定义这个元件与其他信 号、元件与元件、元件与外部端口的连接关系。
调用了 反相器 i1 i2
U4: o3 PORT MAP (i1, i2, i3, L2);
i1 i2
i3
U3: an2 PORT MAP (a=>SW1, b=>SW3, c=>i3);
U5: n1 PORT MAP(L2, L1);
端口连接定义的方法:
名字关联方式: 把元件的端口与它要连接的系统端口通过”=>”对应起来。
顺序语句只能在 进程、过程、函数 中执行;
并行语句

VHDL的并行语句包括:
赋值语句
块语句
进程语句
元件例 化语句
生成语句

并行语句的特点
语句的执行与书 写顺序无关,必须 通过敏感信号的变 化来启动;
每个并行语句相 对独立,一个语句 一般对应一个电路 模块,语句间通过 信号通信;
一、利用元件例化语句描述的 表决器
ENTITY voter IS PORT(SW1, SW2, SW3: IN BIT; L1: OUT BIT; L2: BUFFER BIT); END voter; BEGIN U1: an2 PORT MAP(a=>SW1, b=>SW2, c=>i1); U2: an2 PORT MAP(a=>SW2, b=>SW3, c=>i2); U3: an2 PORT MAP(a=>SW1, b=>SW3, c=>i3); U4: o3 PORT MAP(i1, i2, i3, L2); U5: n1 PORT MAP(L2, L1); END behav;

实体与元件的对应:
ENTITY an2 IS PORT(a,b:IN BIT; c:OUT BIT); END an2; ARCHITECTURE behav OF an2 IS BEGIN c<=a AND b; END behav;
ENTITY o3 IS PORT(l, m, n:IN BIT; z: OUT BIT); END o3; ARCHITECTURE behav OF o3 IS BEGIN z<=l OR m OR n; END behav; ENTITY n1 IS PORT(x: IN BIT; y: OUT BIT); END n1; ARCHITECTURE behav OF n1 IS BEGIN y<=NOT x; END behav; COMPONENT an2 PORT(a,b: IN BIT; c: OUT BIT); END COMPONENT an2;
ARCHITECTURE behav OF voter IS
SIGNAL i1, i2, i3: BIT; COMPONENT an2 PORT(a,b: IN BIT; c: OUT BIT); END COMPONENT an2; COMPONENT o3 PORT(l, m, n: IN BIT; z: OUT BIT); END COMPONENT o3; COMPONENT n1 PORT(x: IN BIT; y: OUT BIT); END COMPONENT n1;
i3
定义元件与 端口的连接
调用了 与门
定义调用元 件间的连接
wk.baidu.com
调用了 或门
定义元件与 端口的连接

格式


元件例化语句由两部分组成,包括元件定义语句和 元件调用语句。 元件定义语句: 把已经设计好的实体定义为一个可以调用的元件。 实体的端口为该元件的引脚。
COMPONENT ND2 COMPONENT 元件名 GENERIC (n: INTEGER); GENERIC(类属表); PORT (a: IN STD_LOGIC_VECTOR(nPORT(端口名表); 1 DOWNTO 0); END COMPONENT 元件名; c: OUT STD_LOGIC); END COMPONENT ND2;
U1: an2 PORT MAP (a=>SW1, b=>SW2, c=>i1);
SW1 SW2 a b c i1
位置关联方式: 系统端口在端口映射语句中的位置,与它连接的元件端口 在元件的端口说明语句中的位置相对应。
COMPONENT an2 PORT(a: IN BIT; b: IN_BIT; c: OUT STD_BIT); END COMPONENT an2; ... u1: an2 PORT MAP (SW1, SW2, i1);
COMPONENT o3 PORT(l, m, n: IN BIT; z: OUT BIT); END COMPONENT o3;
COMPONENT n1 PORT(x: IN BIT; y: OUT BIT); END COMPONENT n1;

元件调用语句:

GENERIC MAP (…)为类属映射语句,确定调用元件的 实体中定义的类属参数;PORT MAP (…) 为端口映射 语句,定义调用元件与其他部分的连接关系。 标号名可看作是插座的名称,而元件名则是调用芯片的 插座-> 实际型号名称。 芯片型号-> U1 标号名 元件名 ULN2003 标号名: 元件名 GENERIC MAP (类属关联); PORT MAP (端口关联);
元件例化语句、块语句与生 成语句(P67-68、73-76)
本课要解决的问题:

掌握元件例化语句的格式与应用; 掌握块语句的格式与应用; 掌握生成语句的格式与应用。
顺序语句的总结

VHDL的顺序语句包括:
赋值语句 等待语句 返回语句
流程控 制语句
空操作 语句

顺序语句的特点:
语句的执行与书 写顺序一致;
u2: andn GENERIC MAP (n=>2); PORT MAP (a(0)=>C1, a(1)=>D1, c=>Y);

元件调用的对应关系:
U1: an2 PORT MAP (a=>SW1, b=>SW2, c=>i1);
U2: an2 PORT MAP (a=>SW2, b=>SW3, c=>i2);
相关文档
最新文档