Xilinx与iMPACT的用法
fpga烧录方式
FPGA烧录方式1. 什么是FPGA?FPGA(Field-Programmable Gate Array)即现场可编程门阵列,是一种集成电路芯片,能够在生产后通过编程来改变其功能。
FPGA由大量的逻辑门、存储单元和可编程互连资源组成,可以根据需要进行重构和重新编程,实现不同的功能。
2. FPGA烧录的概念FPGA烧录是指将设计好的逻辑电路配置到FPGA芯片中的过程。
在FPGA烧录之前,需要将设计好的逻辑电路编译成一种特定的文件格式,然后将这个文件通过特定的方式传输到FPGA芯片上,使其能够按照设计的功能进行工作。
3. FPGA烧录的方式3.1 JTAG方式JTAG(Joint Test Action Group)是一种用于测试和调试集成电路的标准接口。
FPGA芯片通常都支持JTAG接口,因此可以通过JTAG方式进行烧录。
JTAG方式的烧录需要使用专门的烧录工具,如Xilinx的iMPACT、Altera的Quartus等。
这些工具通过JTAG接口与FPGA芯片进行通信,将编译好的文件下载到FPGA芯片的配置存储器中。
3.2 原件方式除了JTAG方式,FPGA芯片通常还支持原件方式进行烧录。
原件方式指的是将编译好的文件烧录到FPGA芯片的非易失性存储器(如Flash、EEPROM)中,以便在下次上电时自动加载。
原件方式的烧录通常需要使用专门的烧录器件,如Altera的USB-Blaster、Xilinx的Platform Cable等。
这些烧录器件通过USB接口与计算机连接,将编译好的文件传输到FPGA芯片的存储器中。
3.3 网络方式随着云计算和远程开发的发展,FPGA烧录方式也逐渐向网络方式演进。
网络方式的烧录可以通过局域网或互联网进行,方便远程开发和调试。
网络方式的烧录通常需要将FPGA芯片与网络连接,通过特定的协议将编译好的文件传输到FPGA芯片中。
这种方式需要使用专门的软件工具和网络设备,如Xilinx的Vivado、Altera的Quartus Prime等。
prom下载方式
Step by step 学习下载配置Xilinx之FPGA配合Mars-XC2S50-Core FPGA核心板,用图文方式向大家详细讲述如何下载配置Xilinx的FPGA。
FPGA下载模式说明S1为FPGA下载模式选择跳线,断开配置FPGA为JTAG模式,短接则FPGA配置方式为Master Serial Mode下面我们利用Spartan2核心板介绍一下通过JTAG菊花链方式下载FPGA和烧录PROM.硬件跳线选择1. 跳线S1: 此时要求M0 M1 M2 = 100, 断开即可设置FPGA的下载方式为Boundary-scan mode。
2. 将Xilinx下载线和核心板上JTAG mode接口J2相连,连接到计算机并口。
3. 目标板上电。
软件操作一、产生PROM配置文件在烧写PROM之前,需要将ISE生成的*.bit文件转换成prom格式的文件才能下载Xilinx 专用的配置PROM中。
1. 启动iMPACT2. 出现下面画面选择Prepare Configuration Files,点击下一步。
3.出现如下界面,选择PROM File,点击下一步。
4.界面如下,选择PROM类型,和文件格式,填写生成文件名称路径,下一步5.指定使用的PROM类型,并添加,下一步。
6.下一步7点击Add File按钮。
8.选上需要转换的*.bit文件打开。
9.出现如下对话框,提示要不要添加另外的.bit文件。
选择否10界面如下,点击完成11.出现要不要现在生成配置文件,选择是,至此,PROM的文件已经生成。
12.OK.二.菊花链方式下载配置FPGA和PROM 1.打开iMPACT配置2.出现如下界面,选择Configure Device, 下一步。
3.选择Boundary-Scan Mode,下一步。
4.选自动监测扫描链,完成。
5.出现如下界面,检测到两个器件:xc2s50和xcf01s,并提示是否为每个器件添加配置文件。
Xilinx学习资料
第0篇Xilinx FPGA开发环境的配置一、配置Modelsim ISE的Xilinx的仿真库1、编译仿真库:A、先将Modelsim安装目录C=Modeltech_6.2b下面的modelsim.ini改成存档格式(取消只读模式);B、在DOS环境中,进入Xilinx的根目录,然后依次进入bin,nt目录;C、运行compxlib -s mti_se -f all -l all -oC:Modeltech_6.2bxilinx_libs。
注意:需要根据你安装的modelsim目录更改C:Modeltech_6.2b 然后就Ok了,就可以的ISE中启动Modelsim进行所有的仿真了。
2、如何在Xilinx ISE中使用Modelsim ISE,Synplify进行综合和仿真:A、打开Xilinx ISE,新建一个Project;①、在菜单File中选择“New Project”,弹出如下的对话框:②、输入Project名称,并选择好Project保存的路径,然后下一步:字串3按照上边的参数进行设置(针对于Spatan 3E的开发板),然后单击下一步,进入到后面的界面:③、单击“New Source”按钮,并按照下面的设置来操作:④、参照下面的参数,进行设置,然后一直选择默认选项,一直到完成。
最后生成的项目界面如下图所示:B、输入代码,然后用Synplify综合:①、参考代码:entity Count iSPort(CLK :in STD_LOGIC;RESET :in STD_LOGIC;LOAD :in STD_LOGIC;DATA IN:in STD_LOGIC_VECTOR(3 downto 0);字串9Qout :out STD_LOGIC_VECTOR(3 downto 0));end Count;architecture Behavioral of Count issignal tmpCount:STD_LOGIC_VECTOR(3 downto 0);beginprocess(CLK,RESET,LOAD)beginif RESET='1' thentmpCount<="0000";elseif LOAD='1' thentmpCount<=DATA_IN;elsif CLK'event and CLK='1' thentmpCount<=tmpCount+1;end if;end if;end process; 字串6Qout<=tmpCount:end Behavioral;②、双击Processes窗口里面的“Synthesize-Synplify”进行综合③、在“Transcript”窗口中的可以看到综合的信息。
XilinxMCS下载文件的生成与下载
第一步:打开iMPACT软件
第二步:出现iMPACT Project框,选择Cancel
第三步:双击Flow 中的PROM File Formatter
第四步:在出现的Prepare PROM Files中,填写PROM File Name,选择生成PROM存放的路径,然后点击Next
第五步:使用默认设置,点Next
第六步:按图所示,选择PROM
第七步:单击Add,然后点Next
第八步:点击Finish完成
第九步:在弹出的Add Device中点OK
第十步:在弹出的Add Device中选择你要转换的bit文件,双击即可
第十一步:点No
第十二步:点OK
第十三步:双击Generate File,生成MCS文件,可以看到主窗口中出现PROM File Generation Succeeded,即表示MCS文件生成成功
下面将怎么下载MCS文件:第一步:双击Boundary Scan
第二步:在空白处点右键,选择Initialize Chain
第三步:PROM显示为绿色,此时表示要选择的下载文件是下载到该PROM中的,选择刚才生成的MCS文件
第四步:紧接着出现的对话框要求下载bit文件,如若要下载bit文件,此处选择要下载的bit文件,如果不需要则点Cancel
第五步:紧接着出现的对话框根据自己的需要勾选相关的选项,然后点击OK
下载。
ISE软件说明
1.安装XILINX的ISE和EDK工具以及最新的Service Pack 安装如下图所示3个必须的工具。
iMPACT最低版本必须如下图所示:ISE最低版本必须如下图所示:XPS最低版本必须如下图所示:2.TS201板中CPLD和FPGA设计的目录结构将CPLD和FPGA设计的整个目录edk_ise_615拷入到微机的D:盘根目录下,使得整个目录结构看上去如下面所描述。
这一点很重要,否者打开工程时可能会出现缺各种文件的情况。
只要严格按本说明去操作,可以避免手工去修改工程的各项配置,可以顺利地熟悉整个T201板上CPLD和FPGA的设计工作。
目录结构和相应的ISE主工程文件:D:\edk_ise_615\cpld\pandr\cpld.iseD:\edk_ise_615\edk81\615htmii_ise\projnav\system.ise 不推荐使用D:\edk_ise_615\edk91\615htmii_ise\projnav\system.iseD:\edk_ise_615\edk91\615htgmii_ise\projnav\system.ise注意目录中还存在下列JTAG烧写文件:D:\edk_ise_615\edk81\615htmii_ise\ipf\prom_htmii.cdf 不推荐使用D:\edk_ise_615\edk81\615htmii_ise\ipf\all_htmii.cdf 不推荐使用D:\edk_ise_615\edk91\615htmii_ise\ipf\prom_htmii.cdfD:\edk_ise_615\edk91\615htmii_ise\ipf\all_htmii.cdfD:\edk_ise_615\edk91\615htgmii_ise\ipf\prom_htgmii.cdfD:\edk_ise_615\edk91\615htgmii_ise\ipf\all_htgmii.cdf说明:cpld目录是给TS201板上的CPLD工程用的;edk81目录代表TS201板上的FPGA工程是用8.1版本的EDK&ISE工具设计的;edk91目录代表TS201板上的FPGA工程是用9.1版本的EDK&ISE工具设计的,推荐使用最新版本的EDK&ISE工具,最好不要使用老版本;htmii表示的是hard temac mii的意思,即V4百兆硬核,该工程FPGA实现的是百兆网;htgmii表示的是hard temac gmii的意思,即V4千兆硬核,该工程FPGA实现的是千兆网;615htmii_ise和615htgmii_ise中的_ise表示工程最终的编译工具用的是ISE,也就是说先通过EDK设计好,然后输出到ISE,最后通过ISE编译完生成FPGA的BIT位流文件。
Xilinx ISE 使用入门
Xilinx ISE 使用入门1、ISE的安装现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。
1)系统配置要求ISE 5.2i推荐的系统配置与设计时选用的芯片有关。
因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。
为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。
在ISE 5.2i 支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。
2)ISE 5.2i的安装以中文版Windows XP操作系统为例加以说明。
(1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。
图4.25 ISE5.2i安装界面(2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。
之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。
图4.26 器件模型选择对话框(3)点击“下一步”,如图4.27所示,可以选择器件种类。
图4.27 器件种类选择对话框通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。
安装完成后,环境变量应作如下描述:若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入:变量名:Xilinx变量值:C:Xilinx(即安装路径)具体设置如图4.28所示。
3 VHDL设计操作指南首先进入ISE工程管理器(Project Navigator)界面,如图4.30所示。
ISE软件使用说明
说明这个说明主要介绍了使用开发板可能使用到的3个工具,主要以串口功能的实现作为例子,讲述了ISE, Plantform和EDK的使用方法以及操作的基本流程。
ISE的使用一.启动Xilinx ISE:开始Æ所有程序ÆXilinx ISE 7.1iÆProject Navigator二.新建/打开一个工程:如果已经有了工程,则在FileÆOpen Project弹出的对话框里面选择你的工程。
如果没有合适的工程就需要通过FileÆNew Project来新建一个工程。
具体操作步骤如下:分别在Project Name和Project Location里面填写您想要创建的工程的名称和路径。
在Top-Level Module Type的下拉菜单里面选择您的工程的顶层模块的类型。
本次串口通信的实验的顶层为原理图,所以选择Schematic。
然后点击下一步:在这个对话框的上半部分选择您使用的芯片的族(Spartan3),型号(xc3s400),封装(pq208)和速度(-4)。
下面选择您要使用编译和综合的软件,建议使用ISE自带的软件,一是使用简便,二是Xilinx公司对自己的FPGA了解程度比第三方要高很多,所以使用Xilinx公司自己的软件有的时候会获得意想不到的收获。
具体参数选择如图,然后单击下一步:在这个对话框里面新建工程里面的文件,这步也可以放到后面来实现,这里我们先选择下一步:这一步用来添加已经存在的文件,同样,我们在后面实现这步。
点击下一步:在最后这个对话框里面显示了我们即将建立的工程的详细信息,确认无误以后点击完成,ISE将为您创建一个名字为uart_test的工程:三.为刚创建的工程添加源文件:1.添加已经存在的源文件:选中屏幕右上的xc3s400-4pq208,单击ProjectÆAdd Source,在弹出的对话框里面选择您已经存在的程序文件(.v .vhd)。
IMPACT软件使用解读
Xilinx IMPACT工具使用IMPACT5.4.1 iMPACT综述与基本操作1. iMPACT简介iMPACT支持4种下载模式:边界扫描,从串模式、SelectMap模式以及Desktop配置模式。
从串模式是一种常用配置电路,可用USB口或并口完成配置。
SelectMap模式是一种并行配置模式,速度快,但需要使用多个信号管脚。
Desktop模式是一种高速配置模式,可配置FPGA、PROM以及SystemACE,但需要专用的硬件设备。
在实际中,由于边界扫描模式标准统一、设备简单,且可通过JTAG链路配置FPGA、CPLD以及PROM,使用最为广泛。
因此本节主要基于边界扫描模式来介绍IMPACT的使用方法。
当设计完成后,ISE调用BitGEN程序把布局布线后的.ncd文件转化成.bit文件,包括了配置数据和配置指令。
如果使用JTAG模式,可直接将.bit文件通过iMPACT文件配置到FPGA芯片中。
如果要用其它模式配置FPGA,则需要通过iMPACT进行格式转化,生成.mcs、.exo以及.hex等文件格式,表M对常用的配置文件进行比较和说明。
对于FPGA器件,iMPACT能够直接将.bit位流文件下载到芯片中,或者将其转换为PROM 器件的EXO/MCS文件格式,并下载到PROM芯片中。
2. iMPACT用户界面有两种方法可以启动iMPACT软件,一种是在ISE过程管理区中,点击Generate Programming File前面的“+”号,再双击“Configure Device”,在ISE环境下运行;另一种是通过点击“开始程序ISE9.1 Accessories iMPACT”,在Windows环境下单独运行。
iMPACT的用户界面主要由File、Edit、View、Operations、Options、Output、Debug、Window、Help菜单栏和常用工具栏组成。
下面对常用的菜单栏操作进行简要介绍。
第4节 IMPACT软件使用
第4节IMPACT软件使用IMPACT5.4.1 iMPACT综述与基本操作1. iMPACT简介iMPACT支持4种下载模式:边界扫描,从串模式、SelectMap模式以及Desktop配置模式。
从串模式是一种常用配置电路,可用USB口或并口完成配置。
SelectMap模式是一种并行配置模式,速度快,但需要使用多个信号管脚。
Desktop模式是一种高速配置模式,可配置FPGA、PROM以及SystemACE,但需要专用的硬件设备。
在实际中,由于边界扫描模式标准统一、设备简单,且可通过JTAG链路配置FPGA、CPLD以及PROM,使用最为广泛。
因此本节主要基于边界扫描模式来介绍IMPACT的使用方法。
当设计完成后,ISE调用BitGEN程序把布局布线后的.ncd文件转化成.bit文件,包括了配置数据和配置指令。
如果使用JTAG模式,可直接将.bit文件通过iMPACT文件配置到FPGA芯片中。
如果要用其它模式配置FPGA,则需要通过iMPACT进行格式转化,生成.mcs、.exo以及.hex等文件格式,表M对常用的配置文件进行比较和说明。
表5-19 常用的Xilixn FPGA配置文件格式列表对于FPGA器件,iMPACT能够直接将.bit位流文件下载到芯片中,或者将其转换为PROM 器件的EXO/MCS文件格式,并下载到PROM芯片中。
2. iMPACT用户界面有两种方法可以启动iMPACT软件,一种是在ISE过程管理区中,点击Generate Programming File前面的“+”号,再双击“Configure Device”,在ISE环境下运行;另一种是通过点击“开始程序ISE9.1 Accessories iMPACT”,在Windows环境下单独运行。
其用户界面如图M所示。
图5-35 iMPACT的用户界面iMPACT的用户界面主要由File、Edit、View、Operations、Options、Output、Debug、Window、Help菜单栏和常用工具栏组成。
Xilinx_FPGA下载烧写教程
Step1 学习下载配置Xilinx 之FPGA配合Mars-EDA 的Spartan2 核心板,用图文方式向大家详细讲述如何下载配置Xilinx 的FPGA。
FPGA 下载模式说明S1 为 FPGA 下载模式选择跳线,M0,M1,M2 默认状态为通过 4.7K 电阻上拉到 3.3V,当用跳线帽短接 S1 的PIN3 和PIN6 将置 M0 为0,同理,短接 PIN2 和PIN5,PIN3 和PIN4 将置 M1,M2 为0。
M0,M1,M2 的电平和 FPGA 下载之间的关系参见下图:(Boundary-scan mode)是调试最常用的 JTAG 模式。
当采用板载 PROM 时,采用的跳线模式是 Master Serial Mode –短路 PIN1 和PIN6,PIN2 和PIN5J2 为Slave Serial Mode 的接口。
下载模式跳线 S1 短接PIN1 和PIN6,PIN2 和PIN5 即可。
J3 为 JTAG Mode 的接口。
下载模式跳线短接 PIN1 和 PIN6 即可。
S2 跳线说明1.通过 JTAG 方式烧录 FPGA:短接 PIN1 和PIN3。
2.通过 JTAG 方式烧录 PROM:短接 PIN3 和PIN5,PIN2 和PIN4.3.通过菊花链下载 FPGA 和烧录 PROM:短接 PIN3 和PIN5,PIN4 和PIN6.下面我们利用 Spartan2 核心板介绍一下通过 JTAG 菊花链方式下载 FPGA 和烧录 PROM. 硬件跳线选择:1.跳线 S1: 此时要求 M0 M1 M2 = 100, 设置 FPGA 的下载方式为 Boundary-scan mode, 此时将 M1 和M2 的跳线安上。
跳线 S2 : 通过菊花链下载 FPGA 和烧录 PROM:短接 PIN3 和 PIN5,PIN4 和 PIN6.2.将 Xilinx 下载线和核心板上 JTAG mode 接口 J3 相连,连接到计算机并口。
ISE12.4使用手册
Xilinx ISE 12.4使用手册------Edit by C2_305 1.Xilinx ISE 12.4用户界面ISE界面如下图所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区,源文件编辑区、过程管理区、信息显示区、状态栏等八部分。
过程管理区图1.1 ISE界面标题栏:主要显示当前工程的路径、名称及当前打开的文件名称;菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、工具(Toll)、窗口(Window)、帮助(Help)等八个下拉菜单;工具栏:主要包括了常用命令的快捷按钮;工程管理区:提供工程及其相关文件的管理和显示功能。
主要包括设计页面(Design)、文件页面(Files)、开始视图(Start)和库视图(Liabrary)。
其中,设计页面视图最常见,显示了源代码的层次关系;源文件编辑区:提供了源代码的编辑功能;过程管理区:本窗口显示的内容取决于过程管理区所选择文件,相关操作和FPGA设计流程相关,包括设计输入、综合、仿真、实现和生成配置文件等;信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等;状态显示栏:显示相关命令和操作的信息,并指示ISE软件当前所处的状态;2.新建工程打开ISE,选择File|New Project,在弹出的新建对话框中输入工程名,例如“Myproject”,并选择工程文件路径,例如“E:\Proj_FPGA\Myproject”,如下图所示,以后将以这个工程名和路径说明。
选择好以后,next,需要注意以下几个选项。
Famliy:选择所使用的FPGA类型;Device:选择所使用的FPGA具体型号;Synthesis Toll:选择所使用的综合工具,默认为ISE自带的XST工具;Simulator:选择仿真软件,默认是ISE自带的Isim;Preferred Language:选择所使用的硬件语言,我们选择Verilog;图2.1 新建工程step1 图2.2 新建工程step2后面直接点next即可,直到完成新工程的建立。
Xilinx软件与开发板介绍
实验一 Xilinx_ISE 软件使用与计数器相关实验一、实验目的1.1了解并掌握采用可编程逻辑器件实现数字电路与系统的方法;1.2学习并掌握采用Xilinx_ISE 软件开发可编程器件的过程当你完成本实验项目之后,你将学会以下的功能.(1)利用ISE13.1 的软件建立一个基于XILINX FPGA开发的项目.(2)撰写一个简单的Verilog 程序,利用语法检查器(Syntax Check)来修正语法的错误(3)建立测试模板(Test Bench) 来测试你的设计.(4)加入系统所需的约束文件(.ucf)(5)完成整个设计流程.并生成可下载到实验板上的.bit文件。
(6)利用Digilent 公司Adept软件来烧录LED.bit 文件到FPGA.1.3学习使用verilog HDL描述数字逻辑电路与系统的方法;1.4掌握分层次、分模块的电路设计方法,熟悉使用可编程器件实现数字系统的一般步骤。
二、实验条件PC机Xilinx ISE13.1 软件USB下载线Digilent Adept软件(2.0或更新版)Xilinx大学计划开发板Basys2三、预习要求阅读实验原理及参考资料,了解使用Xilinx ISE13.1 软件开发Xilinx 可编程器件,设计实现所需电子系统的流程。
四、实验原理4.1 可编程器件开发流程图4.1.1 XILINX FPGA开发流程Xilinx 是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。
Xilinx的开发工具也在不断地升级,目前的ISE Project Navigator 13.x 集成了FPGA开发需要的所有功能,其主要特点有:图4.2.1 Xilinx ISE13.1 集成开发环境•包含了Xilinx新型SmartCompile技术,可以将实现时间缩减 2.5 倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;•全面支持V irtex-5 系列器件(业界首款65nm FPGA);•集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;•可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。
2、用iMPACT把.bit数据下载到flash中
在加载.bit流文件时,顺便把二进制数据.bin写到flash某个区域。
1、iMPACT软件打开方式(ISE13.1)有两种方法可以启动iMPACT软件,一是在ISE过程管理区,双击“Configure Target Device”,在ISE环境下运行;另一种方法(ISE13.1版本):点击电脑开始-程序-所有程序-Xilinx ISE Design suite 13.1-ISE Design Tools-Tools -iMPACT,在windows环境下运行。
2、建立新工程,生成MCS文件File-New Project数据存放的地址改为a0600,注意需要跟程序里面的地址对应。
选择No,不再需要添加别的数据文件。
双击“Generate file”产生binary.MCS文件双击iMPACT Flows 界面下的Boundary Scan 选项:再在Boundary Scan 界面上右击鼠标,选取Initialize Chain 选项:如果出现以下的界面说明已经检测到JTAG 链路上的FPGA 芯片。
选择对话框的No选择OK。
双击下图红圈处的SPI/BPI 接口,添加SPI FLASH 的编程文件,在弹出的Add PROM File 窗口选中我们刚刚生成的binary1.mcs 文件打开。
在弹出的Select Attached SPI/BPI 界面中选择正确的SPI FLASH 型号M25P80,然后点击OK.选中FLASH 图标,然后右击选择Program在接下来弹出的Device Programming Properties 窗口中直接点击OK,软件就会自动对SPI FLASH 进行编程了。
烧写完毕后,弹出如下界面。
Xilinx FPGA 中文手册-《Platform Flash PROM User Guide》中文版
《Platform Flash PROM User Guide》中文版FPGA的配置分为主串行和从串行两种,差别在于时钟源不同。
nCF为高时PROM的DO数据有效,nCE和OE使能。
P24和26介绍了接线图和引脚功能。
原型开发优势每写一次可以有4套不同的程序进行测试。
板子测试配置或安全升级设计的版本中写有诊断程序,如果发现原程序不满足要求,可以调用符合要求的程序重新执行,节省了调试时间。
PlatForm具有备份程序的功能。
防止不完整或损坏数据FPGA的所有IO口在DONE为低电平时均不可用,PlatForm满足这个要求。
为什么使用内部解压或晶振Platform PROM片上解压使得用户可以多存储50%的数据。
Platform PROM提供20MHz或者40MHz(默认)的时钟用于将配置数据从PROM送入FPGA。
内部晶振,特别是40MHz的操作只应用于解压模式。
否则,FPGA的CCLK或者外部晶振会用于驱动。
PROM的解压输出数据速率为内部时钟的一半,换言之,解压数据流配置时间是非压缩数据流的两倍。
如果需要快速配置,FPGA的BitGen-g compress方式可以作为压缩模式。
时钟CLKOUT信号在配置过程中,且在nCE低、OE/nRESET高时有效;无效时呈高组态并且需要外部上拉以避免不确定状态。
时钟速率可以配置,默认为1MHz,可以为1,3,6,12等,XCFxxS最大为33MHz。
PROM文件生成和烧写.bit(Bitstream)文件为输入数据流,.mcs文件为输出数据,.cfi文件为配置格式信息文件。
.bit文件为FPGA使用的二进制数据,.mcs文件为PROM运行文件,.cfi(Configuration format information)文件名字与前两者根目录相同。
标准的PROM文件为前两者。
在XCFxxP系列PROM使用多版本程序设计、CLKOUT、解压缩等功能时还需要使用.cfi文件。
Xilinx_ISE操作示例
全文只有此3个告警信 息 , 更 无 “ errors” 信息。否则,需根 据信息内容回到前 面文件中纠正错误。
五.实现
在Processes小窗口中 下拉选项,找到并双 击“Implement Design”。等待程序 运行完毕。 运行结果正确后, Processes小窗口的 “Implement Design”项目中显 示如右图结果(绿 圈勾):
4.选择原理 图输入 5.点击
3.点击
选择器件型号和工具软件
1 . 选择器件型号与圈 内的3项完全一致
2.选择工具软件与圈内 的4项完全一致
3.点击
建立新的源文件
点击
敲入文件名,选择原理图
2. 选择原理 图选项
1. 键 入 新 的 原 理图文件名
3.点击
完成
点击
接下来两个弹出窗口连续单击“Next” ,第三个弹出窗口单击“Finish”
节点命名 k1 f2 注:5处红色的节 点都需命名
f1 k2 cp
节点命名后电路如下图。再选择“Add I/O Marker”图标,添加输入/输出盘:点击图标后 依次移动光标到节点名处,单击鼠标左键。
添加输入/输出盘
完成后电路如下图,保存电路图。
保存电路图
二.
功能仿真
按下图选项顺序操作:
2.单击 3.单击 4. 空 白 处 单 击 鼠标右键 1.单击
双击
运行结束后弹出功能仿真结果窗口如下图。
0 0
1 0
0 1
1 1
0 0
0bit
1bit
2bit
3bit
0bit
三.编写约束文件
在Sources小窗口的空白处单击鼠标右键,在弹出的 对话框中选择“New Source”后,再次弹出对话框 如下图,按图示顺序操作:
IMPACT软件使用解读
IMPACT软件使用解读Xilinx IMPACT工具使用IMPACT5.4.1 iMPACT综述与基本操作1. iMPACT简介iMPACT支持4种下载模式:边界扫描,从串模式、SelectMap 模式以及Desktop配置模式。
从串模式是一种常用配置电路,可用USB口或并口完成配置。
SelectMap模式是一种并行配置模式,速度快,但需要使用多个信号管脚。
Desktop模式是一种高速配置模式,可配置FPGA、PROM以及SystemACE,但需要专用的硬件设备。
在实际中,由于边界扫描模式标准统一、设备简单,且可通过JTAG链路配置FPGA、CPLD以及PROM,使用最为广泛。
因此本节主要基于边界扫描模式来介绍IMPACT的使用方法。
当设计完成后,ISE调用BitGEN程序把布局布线后的.ncd文件转化成.bit文件,包括了配置数据和配置指令。
如果使用JTAG模式,可直接将.bit文件通过iMPACT文件配置到FPGA芯片中。
如果要用其它模式配置FPGA,则需要通过iMPACT进行格式转化,生成.mcs、.exo以及.hex等文件格式,表M对常用的配置文件进行比较和说明。
对于FPGA器件,iMPACT能够直接将.bit位流文件下载到芯片中,或者将其转换为PROM 器件的EXO/MCS文件格式,并下载到PROM 芯片中。
2. iMPACT用户界面有两种方法可以启动iMPACT软件,一种是在ISE过程管理区中,点击Generate Programming File前面的“+”号,再双击“Configure Device”,在ISE环境下运行;另一种是通过点击“开始程序ISE9.1 Accessories iMPACT”,在Windows环境下单独运行。
iMPACT的用户界面主要由File、Edit、View、Operations、Options、Output、Debug、Window、Help菜单栏和常用工具栏组成。
Xilinx原语的使用方法1
Xilinx原语的使用方法13.4 Xilinx公司原语的使用方法原语,其英文名字为Primitive,是Xilinx针对其器件特征开发的一系列常用模块的名字,用户可以将其看成Xilinx公司为用户提供的库函数,类似于C++中的“cout”等关键字,是芯片中的基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等,相当于软件中的机器语言。
在实现过程中的翻译步骤时,要将所有的设计单元都转译为目标器件中的基本元件,否则就是不可实现的。
原语在设计中可以直接例化使用,是最直接的代码输入方式,其和HDL语言的关系,类似于汇编语言和C语言的关系。
Xilinx公司提供的原语,涵盖了FPGA开发的常用领域,但只有相应配置的硬件才能执行相应的原语,并不是所有的原语都可以在任何一款芯片上运行。
在Verilog中使用原语非常简单,将其作为模块名直接例化即可。
本节以Virtex-4平台介绍各类原语,因为该系列的原语类型是最全面的。
其它系列芯片原语的使用方法是类似的。
Xilinx公司的原语按照功能分为10类,包括:计算组件、I/O端口组件、寄存器和锁存器、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM 组件、Slice/CLB组件以及G比特收发器组件。
下面分别对其进行详细介绍。
3.4.1 计算组件计算组件指的就是DSP48核,也有人将其称为硬件乘法器,功能描述如表3-6所示。
表3-6 计算组件清单DSP48 其结构为一个18*18比特的有符号乘法器,且在后面还级联了一个带有可配置流水线的3输入加法器DSP48核由一个18比特的乘法后面级联一个48比特的加法器,乘法器和加法器的应用位宽分别可以在18、48比特内任意调整。
其在乘加模块中有广泛应用,特别是各类滤波器系统中,不仅可以提高系统稳定性,还能够节省逻辑资源且工作在高速模式下。
其在Verilog中的例化模版为:module fpga_v4_dsp48(BCOUT, P, PCOUT, A, B, BCIN, C, CARRYIN,CARRYINSEL, CEA, CEB,CEC, CECARRYIN, CECINSUB, CECTRL, CEM, CEP, CLK, OPMODE,PCIN, RSTA, RSTB, RSTC, RSTCARRYIN, RSTM, RSTP,SUBTRACT);output [17:0]BCOUT;output [47:0] P, PCOUT; //input [17:0] A, B; //input [47:0] C, PCIN;input [1:0] CARRYINSEL;input [6:0] OPMODE;input BCIN, CARRYIN,CEA,CEB, CEC,CECARRYIN,CECINSUB,CECTRL,CEM,CEP,CLK, RSTA, RSTB,RSTC,RSTCARRYIN,RSTM,RSTP,SUBTRACT;//对DSP48原语的功能进行配置。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
成功之后,窗口上信息,如下图所示:
下一步就创建.Bit 文件
6. 创建.Bit 文件
Байду номын сангаас
二进制.Bit 文件:
xilinx_ISE 在 iMPACT 下载写好的程序
在 ISE 或 EDK 下生成 BIT 文件,可通过 IMPACT 对 FPGA 进行配制, bit 文件是配制到 FPGA 内部 RAM 的,掉电就没有了, 如果需要固化到片外 FLASH 里面(下载到 FLash 或 EEPROM) ,则需要将 BIT 文件转 化为 mcs 文件,通过 IMPACT 固化到指定的 ROM 中。 假 设 已 经 生 成 了 BIT 文 件 , 下 面 我 们 来 介 绍 如 何 进 行 配 制 和 固 化 , 软 件 使 用 的 是 IMPACT12.2。
然后点击 program 就可以了
如果需要固化,则需要将 bit 文件转换为 mcs 文件,下面以固化到 spi flash 为例,xcf rom 固 化类同。
二、转换 BIT 文件为 MCS 文件 点击 Create PROM File
弹出下框,按下图流程操作
最后点 OK,弹出如下框,点击 OK,选择要转换的 BIT 文件
点击 Next 键,弹出如下窗口,
弹出参数信息窗口:
参数表:
之后保存文件。 文本: module Two2One( input [7:0] x_in, input flag, output [7:0] y_out, ); assign y_out = flag ? x_in : 8'b00000000; endmodule
在上图点击 Next 键,弹出如下窗口,设置一些参数,如下图所示:
2. 创建资源文件(New Source) ProjectNew Source。如输入文件名:One2Two。 选择模型,如 Verilog Module,输入 HDL 语言; 或输入原理图。 或 ProjectAdd Source,,增加已存在的资源文件(*.v) 。实例:二选一电路。
关于 Xilinx ISE(14.2)简单使用方法介绍
安装 ISE 软件基本上是一路点击鼠标就是,但必须安装注册表文件,可在网上查找,可 能是一个生成注册表文件或注册表文件(license) 。功能仿真是在设计输入后进行;时序仿 真是在逻辑综合后或布局布线后进行。 (系统差不多占 20GB 硬盘) 1. 创建工程文件(New Projiect) File New Projiect 。如输入文件名:Two2One。
选中文件后,点击打开
点击 generate file,即生成了 mcs 文件。
三,下载 MCS 文件
切换到 boundary scan,点击 add SPI/BPI Flash 将生成的 MCS 文件添加并下载即可,这样就 固化到 SPI FLASH 里去了。 将 M0,M1,M2 设置成 spi flash 配制模式,重新上电电试试看,看 LED 灯是不是跑起来了。
一、BIT 文件下载 连接好下载器与 FPGA 开发板 JTAG 接口,给开发板上电,打开 IMPACT 软件,
双点击 Boundary Scan,右键选择 Initialize Chain,看能否检测到开发板的器件。
JTAG 链路中的器件会全部显示出来,双击 FPGA 器件,添加 BIT 文件
3. 程序语法检查 如下图所示:
或者:
4. 管脚适配(为下载做准备) 先创建*.UCF 文件
弹出窗口,点击 Yes,创建.UCF 文件
之后,系统会启动管脚配置程序,然后设计人员根据情况配置管脚,
适配后:
配置好管脚后保存好文件。
5. 综合与实现 管脚分配完成之后,必须进行布局布线,如下图所示: