四位二进制同步加法计数器课程设计(缺0111 1000 1010 1011)

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

成绩评定表

课程设计任务书

目录

一、课程设计目的 (1)

二、设计框图 (1)

三、实现过程 (2)

1、ISE实现过程 (2)

1.1建立工程 (2)

1.2调试程序 (2)

1.3波形仿真 (5)

1.4引脚锁定与下载 (8)

1.5仿真结果分析 (10)

2、MULTISIM实现过程 (10)

2.1求驱动方程 (10)

2.2画逻辑电路图 (14)

2.3逻辑分析仪的仿真 (15)

2.4结果分析 (15)

四、总结 (16)

五、参考书目 (17)

一、课程设计目的

1了解同步减法计数器工作原理和逻辑功能。 2掌握计数器电路的分析、设计方法及应用。 3.学会正确使用JK 触发器。

二、设计框图

状态转换图是描述时序电路的一种方法,具有形象直观的特点,即其把所用

触发器的状态转换关系及转换条件用几何图形表示出来,十分清新,便于查看。

在本课程设计中,四位二进制同步加法计数器用四个CP 下降沿触发的JK 触发器实现,其中有相应的跳变,在状态转换图中可以清晰地显示出来。具体结构示意框图和状态转换图如下:

去除约束项后如下:

0110

100111001101111011110101

010000110010000100000/0/0/0/0/0

/0/0/0/0/−−←−−←−−←−−←−−←−→−−→−−→−−→−−→−

B:状态转换图

四位二进制同步加法计数器

CP

输入加法计数脉冲

C

输出进位信号

A :结构示意框图

三、实现过程

1. ISE实现过程

1.1建立工程。File——〉New Project;输入Project Name;即工程名;

Project Location,即工程保存的位置;然后next——>……——>next直至finish。

图1.1

图1.2

1.2调试程序。右击xc95*x1-**,选New Source,再选VHDL Module后,填加文件名——〉next一直到finish。

图1.3

图1.4

写入程序,保存程序

图1.5

具体程序如下:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity count10 is

port(CP,r:in std_logic;

q:out std_logic_vector(3 downto 0));

end count10;

architecture behavioral of count10 is

signal count:std_logic_vector(3 downto 0);

begin

process(cp,r)

begin

if r='0' then count<="0000";

elsif cp'event and cp='1' then

if count="0110" then

count<="1001";

else count<=count+1;

if count="1111" then

count<="0000";

elsif count="1001" then

count<="1100";

end if;

end if;

end if;

end process;

q<=count;

end behavioral ;

双击Implement Design(或右键Run),运行程序,调试成功显示如下:

图1.6

1.3波形仿真。回到wei.vhd界面,右键点击wei – Behavioral,选New Source——〉Test Bench WaveForm——〉输入File Name,next ——next〉——〉finish。

图1.7 图1.8

图1.9

左侧Sources for 栏内选择Behavioral Simulation,选择.tbw文件,打开Processes 下的Xilinx ISE Simulator如图

图1.10

点击Simulate Behavioral Model(或右键RUN)运行仿真波形,如下:

图1.11

1.4引脚锁定与下载

左上侧Source for选项中选择Synthesis/Implementation,左下侧Processes ——〉User Constraints——〉Assign Package Pins分配引脚:

Cp-p11,r-p43,q3-p50,q2-p52,q1-p51,q0-p55。点击保存,OK。

图1.12

回到ISE环境:Processes——〉Implement Design——〉Optional Implementation Tools——〉双击Lock Pins锁定引脚:

图1.13

Processes——〉Implement Design——〉双击Generate Programming File——〉Configure Device(iMPACT),默认JTAG,finishi,we.jed ——〉Open

图1.14

右键点绿——〉Progaram——〉OK,结束下载。

相关文档
最新文档