EDA基于VHDL的24进制计数器课程设计
24进制计数器
![24进制计数器](https://img.taocdn.com/s3/m/350976936bec0975f465e257.png)
沈阳工业大学实验报告(适用计算机程序设计类)实验名称:24进制加法计数器1.实验目的:(1)学习掌握VHDL语言程序编写的方法,并利用其解决实际问题。
(2)学习掌握QuartusII应用软件,练习使用原理图输入设计方法,并正确使用软件仿真解决实际问题。
(3)学习掌握EDA实验系统的应用,学会利用其解决实际的问题。
2.实验内容:(1)学习掌握利用QuartusII应用软件进行工作库文件的创建、VHDL语言的编写、工程创建、时序仿真及引脚设置和下载等操作。
(2)学习掌握EDA实验系统模式的选择及引脚的查询等使用操作。
(3)利用VHDL硬件描述语言及原理图输入设计方法,设计一个24进制加法计数器,并利用QuartusII进行功能仿真,并通过EDA实验系统验证其功能的正确性。
3.实验方案(程序设计说明)(1)明确所要设计的电子线路所要实现的功能和要求,并设计方案。
(2)根据自己的设计方案,设计一个十进制的VHDL硬件描述语言。
(3)利用QuartusII将十进制的VHDL硬件描述语言转化为原理图。
(4)利用QuartusII软件创建工程,并进行时序仿真,观察波形图。
(5)把设计的VHDL硬件语言进行引脚设置,下载到EDA实验系统。
4. 实验步骤或程序(经调试后正确的源程序)附件A 沈阳工业大学实验报告(适用计算机程序设计类)1、建立10进制计数器工作库文件夹、并编辑设计VHDL 文件2、创建10进制加法计数器的工程见附件A5.程序运行结果绘制的仿真波形图能够正确的反映出所设计电子线路的功能,下载到EDA 实验系统的文件,能够通过EDA 实验系统正确的实现其功能。
6.出现的问题及解决方法问题:在利用原理图创建24进制计数器工程时,把10进制计数器原理图的工程替换了解决方法:重新创建10进制计数器工程,并构建24进制计数器。
3、利用原理图输入设计法设计24进制加法计数器4、创建24进制加法计数器的工程5、24进制加法计数器时序仿真6、引脚设置(模式5)选择模式5的数码管1和数码管2Q0[0] 引脚:PIO16-13 Q0[1] 引脚:PIO17-14 Q0[2] 引脚:PIO18-15 Q0[3] 引脚:PIO19-16 Q1[0] 引脚:PIO20-17 Q1[1] 引脚:PIO21-18 Q1[2] 引脚:PIO22-19 Q1[3] 引脚:PIO23-20 CLOCK: 选择clock2-1537、配置文件下载。
EDA 24进制计数器的设计
![EDA 24进制计数器的设计](https://img.taocdn.com/s3/m/c87fe3dc3186bceb19e8bbc0.png)
《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验1:24进制计数器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。
2、要求具备使能功能和异步清零功能。
3、设计完成后生成一个元件,以供更高层次的设计调用。
4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
三、实验程序(原理图)四、仿真及结果分析在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,设计一个24进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步24进制,则个位接成0011,十位接成0010,再用一个四输入(一段接一个使能信号EN)的与非门接到两片74160计数器上的置数端LDN。
把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的24进制。
然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。
五、硬件验证1、选择模式:模式72、引脚锁定情况表:六、小结经过这次的实验工作,让我知道了许多的东西,也对QuartusII这个软件的一个初步认识及应用,也让我了解了许多在书本上所学不到的知识和技能,这为我们在以后的工作起了非常重要的作用。
EDA课程设计报告(电子钟VHDL 设计)
![EDA课程设计报告(电子钟VHDL 设计)](https://img.taocdn.com/s3/m/a99661a0cc22bcd126ff0cae.png)
EDA课程设计报告(电子钟VHDL 设计)作者:dang168 时间:2008-10-05E D A课程设计报告-----电子钟VHDL 设计一设计要求设计一个电子钟,要求可以显示时、分、秒,用户可以设置时间.二.实验目的1. 掌握多位计数器相连的设计方法。
2. 掌握十六进制,二十四进制,六十进制计数器的设计方法。
3. 掌握CPLD技术的层次化设计方法。
4. 了解软件的元件管理含义以及模块元件之间的连接概念。
5. 掌握电子电路一般的设计方法,并了解电子产品的研制开发过程,基本掌握电子电路安装和调试的方法。
6. 培养独立分析问题,解决问题的能力。
三.硬件要求1.8位8段扫描共阴极数码显示管。
2. 三个按键开关(清零,调小时,调分钟)。
四.设计原理数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。
因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可实现对一天24小时的累计。
译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。
通过六位LED七段显示器显示出来。
校时电路器是用来对“时”“分”“秒”显示数字进行校时调整的。
在同一CPLD芯片口集成如下电路模块:1.电子钟计数采用层次化设计,将设计任务分成若干个模块。
规定每一模块的功能和各模块之间的接口。
(1)second(秒) 60进制BCD码计数(2)minute(分) 60进制BCD码计数(3)hour (时) 24进制BCD码计数(4)clock top 顶层设计同时整个计数器有清零,调时,调分功能。
2.端口引脚名称 输入 clk,reset,setmin,sethour 输出 second—daout,minute-daout,hour-daout五.设计原理图逻辑功能图:输入:CLK—时钟脉冲,RESET—复位信号,SETMIN—分加1信号,SETHOUR—秒加1信号输出:SECOND_DAOUT—秒输出,MINUTE_DAOUT—分输出,HOUR_DAOUT—时输出时序仿真:程序主要运用计数器完成,在时钟脉冲的作用下,完成时钟功能,由时序图可以看出每一个时钟脉冲上升沿秒加1,当接收到reset 信号,即reset为高电平,所有计数为零,并重新计数,setmin和sethour可以完成调节时钟功能,都是高电平调节,每来一个脉冲,相应的时或分加1。
EDA技术24进制计数器
![EDA技术24进制计数器](https://img.taocdn.com/s3/m/61ce1f8b02d276a201292e02.png)
《EDA技术》课程实验报告
学生姓名:郑月庭
所在班级:电信1001班
指导教师:高金定老师
记分及评价:
一、实验名称
实验1:24进制计数器的设计
二、任务及要求
【基本部分】5分
1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采
用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。
2、要求具备使能功能和异步清零功能。
3、设计完成后生成一个元件,以供更高层次的设计调用。
4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
三、实验程序(原理图)
四、仿真及结果分析
五、硬件验证
1、选择模式:
模式7
2、引脚锁定情况表:
六、小结
初次接触EDA让自己学到了很多的知识,实验中让自己的思维更加的开阔,对这门课程有了一定的了解,也很感兴趣。
总之的收获了不少的知识。
基于VHDL的24进制计数器的程序设计
![基于VHDL的24进制计数器的程序设计](https://img.taocdn.com/s3/m/70f9d22dc381e53a580216fc700abb68a982ad88.png)
基于VHDL的24进制计数器的程序设计
基于VHDL的24进制计数器的程序设计
余丽红;龙诺春;林春景
【期刊名称】《电子技术》
【年(卷),期】2016(000)006
【摘要】24进制计数器的程序设计可以作为《EDA技术与应用》课程的实验项目.该项目可以帮助学生理解数字系统自顶向下的设计方法,加深学生对分频器、计数器以及动态扫描原理的理解,使学生进一步领会VHDL设计方法.本文以MAX+PLUSⅡ为软件设计平台完成程序的设计及编译,并利用达盛EDA实验箱实现硬件仿真.
【总页数】3页(83-85)
【关键词】计数器;程序设计;分频器;动态扫描
【作者】余丽红;龙诺春;林春景
【作者单位】广东白云学院电气与信息工程学院广东广州510450;广东白云学院电气与信息工程学院广东广州510450;广东白云学院电气与信息工程学院广东广州510450
【正文语种】中文
【中图分类】
【相关文献】
1.基于VHDL的60进制计数器的程序设计 [J], 张舒豪
2.基于VHDL的任意进制计数器设计 [J], 钟其明
3.用74160集成计数器构成任意进制计数器的电路设计[J], 单嵛琼; 单长吉
4.基亏集成计数器的N进制计数器设计与仿真 [J], 赵家松; 周兵; 严伟榆。
24进制计数器课程设计
![24进制计数器课程设计](https://img.taocdn.com/s3/m/7d957e6a42323968011ca300a6c30c225901f0ee.png)
24进制计数器课程设计一、课程目标知识目标:1. 学生能理解24进制计数原理,掌握24进制与十进制的转换方法;2. 学生能运用24进制进行简单数学运算,如加、减、乘、除;3. 学生了解24进制在实际应用中的优势,例如在时间计算、编码等领域。
技能目标:1. 学生能够独立完成24进制与十进制的相互转换;2. 学生能够运用24进制进行基本的数学运算,解决实际问题;3. 学生通过小组合作,设计并制作一个简单的24进制计数器。
情感态度价值观目标:1. 学生培养对进制转换的兴趣,提高学习数学的积极性;2. 学生养成合作、探究的学习习惯,增强团队协作能力;3. 学生认识到数学在生活中的广泛应用,提高数学素养。
课程性质:本课程属于数学课程,旨在让学生掌握进制转换知识,提高数学应用能力。
学生特点:六年级学生具备一定的数学基础,思维活跃,好奇心强,喜欢探究新知识。
教学要求:注重理论与实践相结合,鼓励学生动手实践,培养实际操作能力;强调小组合作,培养学生的团队协作精神。
通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高解决问题的能力。
二、教学内容1. 24进制计数原理介绍:通过课本知识,讲解24进制的定义,对比十进制,让学生理解进制的概念和转换方法。
- 章节关联:《数学》六年级上册,第三章“数的认识”,第二节“认识进制”。
2. 24进制与十进制的转换:详细讲解转换方法,举例说明,让学生掌握两种进制之间的转换技巧。
- 教学安排:2课时。
3. 24进制数学运算:讲解24进制下的加、减、乘、除运算规则,让学生学会运用24进制进行计算。
- 教学安排:2课时。
4. 实际应用案例分析:分析24进制在实际生活中的应用,如时间计算、编码等,提高学生的实际应用能力。
- 章节关联:《数学》六年级上册,第三章“数的认识”,第四节“进制在实际生活中的应用”。
5. 小组合作制作24进制计数器:分组让学生设计并制作计数器,巩固所学知识,培养学生的动手能力和团队协作精神。
24小时计时器设计
![24小时计时器设计](https://img.taocdn.com/s3/m/9ed22bfdf61fb7360b4c6518.png)
24小时计时器设计摘要:使用硬件描述语言VHDL进行编程,设计六十进制和二十四进制计数器,利用原理图输入设计方法,使用两片六十进制计数器和一片二十四进制计数器,设计出了一个24小时计时器系统。
使用QuartusII软件进行编译,时序仿真,来验证该系统的正确性。
整个系统设计简单,使用方便,具有24小时计时显示功能,可以分别对时,分,秒进行校正。
关键词:VHDL 计数器24小时计时器目录1.设计背景 (1)2.设计方案 (1)2.1.六十进制计数器设计 (2)2.2.二十四进制计数器设计 (3)3.方案实施 (5)4.仿真结果 (6)5.参考文献 (7)1.设计背景随着EDA技术的发展和应用领域的扩大,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。
基于EDA技术的数字系统设计,一般可以再单片PLD实现,具有功能强、功耗低,体积小、可靠性高等特点,成为当今数字系统设计的主流。
此次EDA课程大作业,我的设计课题是24小时计时器系统设计,根据要求使用平时所学VHDL语言进行编程和原理图输入设计方法来完成。
报告书主要包括设计背景、设计方案、方案实施、仿真波形。
设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。
2.设计方案24小时计时器的原理图如图2.1所示。
它由两片六十进制计时器和一片二十四进制计数器构成,输入CLK为1Hz的时钟,经过60分频后产生1分钟时钟信号,再经过60分频后,产生1小时的时钟信号,最后进行24分频,得到1天的脉冲送COUT输出。
将两个60分频和一个24分频的输出送7段数码管,得到24小时的计时显示结果。
图2.1 24小时计时器的原理图图2.1中的CLK是1Hz时钟输入端;RST是复位输入端,高电平有效;JM、JF和JS分别是校秒、校分和校时的输入端,下将沿有效;QM[7..0]、QF[7..0]和QS[7..0]分别是秒、分、时的计时输出端;COUT是“天”脉冲输出端。
eda24进制计数器设计
![eda24进制计数器设计](https://img.taocdn.com/s3/m/c10adf0cf011f18583d049649b6648d7c1c708be.png)
EDA24进制计数器设计1. 任务背景计数器是计算机系统中常见的一种电路,用来实现对数字进行计数的功能。
传统的计数器一般是采用二进制表示数字,然而在某些特定的应用场景中,使用其他进制的计数器能够更方便和高效。
EDA24进制计数器是指使用24进制来表示数字的计数器。
24进制是一种特殊的进制,它由24个数字符号(0-23)组成,分别对应于十进制的0-9、字母A-J、字母K-T和字母U-Y。
使用24进制计数器可以更精确地表示某些特定范围内的数字,而且减少了数字的位数和转换过程中的计算复杂度。
本文将介绍如何设计一个EDA24进制计数器,包括计数器的原理、硬件设计和功能实现等方面的内容。
2. 原理介绍EDA24进制计数器的工作原理与传统的计数器类似,主要分为三个部分:计数器状态存储、计数器状态更新和计数器输出。
2.1 计数器状态存储EDA24进制计数器需要使用存储器来保存当前的计数器状态。
由于EDA24进制有24个数字符号,每个符号对应一个存储单元,因此需要一个24位的存储器来存储计数器的状态。
存储器的结构可以采用RAM或者寄存器等形式。
当计数器进行更新时,计数器状态存储器会读取新的计数器状态。
2.2 计数器状态更新EDA24进制计数器的计数逻辑与二进制计数器类似,但需要对进位的处理进行特殊处理。
在24进制下,当某一位达到23时,需要进行进位操作,并将低位的符号进行进位。
例如,当计数器达到23时,进位得到的数字为10(对应K),并将低位的数字进行滚动。
以一个4位的EDA24进制计数器为例,计数范围为0000~2323。
初始状态为0000,当计数值增加时,每一位的变化规律如下:•当个位(最低位)从0~2变化时,直接递增;•当个位达到3时,个位变为0,十位(倒数第二位)递增;•当十位从0~2变化时,直接递增;•当十位达到3时,十位变为0,百位(倒数第三位)递增;•当百位从0~2变化时,直接递增;•当百位达到3时,百位变为0,千位(最高位)递增;•当千位从0~2变化时,直接递增;•当千位达到3时,计数器归零。
EDA基于VHDL的24进制计数器课程设计
![EDA基于VHDL的24进制计数器课程设计](https://img.taocdn.com/s3/m/0f72da0552ea551810a6877d.png)
实训报告课程名称:EDA设计学生姓名:学号:专业班级:计算机软件2013年10 月29 日南昌大学实训报告学生姓名:学号:专业班级:设计□创新实训日期:10.9.6—10.9.14 实验成绩:实训类型:□验证□综合一、实训项目名称通过原理图方法以及Verilog HDL语言进行编程两种方法实现24进制计数器。
二、实训目的1.熟练掌握Quartus II软件的使用。
2.熟练掌握在QuartusII平台上用原理图或者Verilog HDL语言进行电路设计的方法。
3.学会用例化语句对EDA电路设计中顶层电路进行描述。
三、实训要求1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。
四、实训基本原理(附源程序清单,原理图、RTL图)一、通过Verilog HDL语言编程方法程序清单:module ls161(Q,RCO,D,ET,EP,LOAD,CLR,CLK);output [3:0] Q;output RCO;input [3:0]D;input LOAD,ET,EP,CLR,CLK;reg [3:0]Q;wire EN;assign EN = ET&EP;always @(posedge CLK or negedge CLR) beginif(!CLR)Q = 4'b0000;else if(!LOAD)Q = D;else if(EN)beginif(Q==9)Q = 0;elseQ = Q+1;endendassign RCO = ((Q==4'b1001)&EN)?1:0; endmodulemodule XS7D(DIN,DOUT);input [3:0]DIN;output [6:0]DOUT;reg [6:0] DOUT;always @(DIN)begincase(DIN)0:DOUT = 7'b1000000;1:DOUT = 7'b1111001;2:DOUT = 7'b0100100;3:DOUT = 7'b0110000;4:DOUT = 7'b0011001;5:DOUT = 7'b0010010;6:DOUT = 7'b0000010;7:DOUT = 7'b1111000;8:DOUT = 7'b0000000;9:DOUT = 7'b0010000;endcaseendendmodulemodule COUNT24(QL,QH,CLK,RRCO);output [6:0] QL,QH;output RRCO;input CLK;wire [3:0] Q1,Q2;wire RCOL,RCOH,RRCO,LOADL,LOADH,EN,LOAD;wire [3:0]D1,D2;wire VCC,GND;assign D1 = 4'b0000,D2 = 4'b0000,VCC = 1,GND = 0;ls161u1(.Q(Q1),.RCO(RCOL),.D(D1),.ET(VCC),.EP(VCC),.LOAD(LOAD),.CLR(VCC),.CLK(CLK));ls161 u2(.Q(Q2),.RCO(RCOH),.D(D2),.ET(EN),.EP(EN),.LOAD(LOADH),.CLR(VCC),.CLK(CLK)); XS7D u8(.DIN(Q1),.DOUT(QL));XS7D u9(.DIN(Q2),.DOUT(QH));nand u3(LOADL,Q1[3],Q1[0]);nand u4(LOADH,Q2[1],Q1[0],Q1[1]);not u5(EN,LOADL);and u6(LOAD,LOADL,LOADH);not u7(RRCO,LOADH);endmodule仿真结果:二、原理图方法实现仿真结果:五、主要仪器设备、软件及耗材安装有QuartusII的电脑一台。
24进制计数器的VHDL语言设计
![24进制计数器的VHDL语言设计](https://img.taocdn.com/s3/m/06dfc4d2a58da0116c1749fd.png)
24进制计数器的VHDL语言设计2008-01-11 09:55:57 阅读1145 评论2字号:大中小摘要: 介绍了使用VHDL语言设计24进制计数器,给出了功能仿真波形,举例说明了实现电子设计自动化(EDA ) 的过程。
关键词: VHDL语言; 24进制计数器; 功能仿真; 电子设计自动化一、前言:EDA技术的应用:电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用:在教学方面:让学生了解EDA的基本原理和基本概念、描述系统逻辑的方法、使用工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。
具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。
在科研方面:主要利用电路仿真工具进行电路设计与仿真;利用虚拟仪器进行产品调试;例如在CDMA无线通信系统中,所有移动手机和无线基站都工作在相同的频谱,为区别不同的呼叫,每个手机有一个唯一的码序列,CDMA 基站必须能判别这些不同观点的码序列才能分辨出不同的传呼进程;这一判别是通过匹配滤波器的输出显示在输人数据流中探调到特定的码序列;FPGA能提供良好的滤波器设计,而且能完成DSP高级数据处理功能,因而FPGA在现代通信领域方面获得广泛应用。
在产品设计与制造方面:从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。
可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。
EDA技术发展趋势:EDA技术在进入21世纪后,,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展,突出表现在以下几个方面:使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;SoC高效低成本设计技术的成熟。
eda24进制计数器设计
![eda24进制计数器设计](https://img.taocdn.com/s3/m/dff49c124a35eefdc8d376eeaeaad1f34693110d.png)
设计一个EDA(电子设计自动化)工具的24进制计数器的基本步骤如下:
1. 构建基本逻辑单元:
在设计24进制计数器之前,首先需要构建基本逻辑单元,如触发器或锁存器,以便存储和处理计数。
2. 确定计数范围:
在开始设计前,需要确定计数器的计数范围。
例如,如果需要表示0到23的范围,则计数器需要能在该范围内有效计数。
3. 推导需求特征:
基于你选择的基本逻辑单元推导组合逻辑和时序逻辑特征,以设计恰当的计数器。
4. 设计状态机:
设计一个有限状态机(FSM),以观察计数器状态的转换并确保可靠性和稳定性。
5. 设计24进制加法器:
设计一个全加器以实现24进制数的加法操作。
由于24进制计数器每个位最高数值为23(用0-N表示,例如0-9、A-N或0-夜,按照标准24进制),因此需要考虑进位。
6. 链接基本组件:
将设计好的24进制加法器连接到触发器或锁存器,以更新计数值并从一个状态迁移到另一个状态。
7. 时钟控制:
引入时钟模块来控制计数器的工作节奏。
每次时钟周期到达时,计数器将更新计数值。
8. 设计复位和清零逻辑:
实现一个逻辑控制来负责复位及清零操作,将计数器重置为初始状态。
9. 进行仿真测试:
运行仿真测试,检查计数器在不同条件下的运行情况,确保其准确、可靠地工作。
10. 设计可视化界面:
创建一个可视化界面,以便于用户直观地获取计数器状态和计数过程。
24小时制时钟—EDA课程设计报告
![24小时制时钟—EDA课程设计报告](https://img.taocdn.com/s3/m/451b4e80bceb19e8b8f6bad1.png)
石家庄铁道大学EDA课程设计报告24小时制数字钟学院电气与电子工程学院专业电子信息专业班级电0904-2班学号 20092360 学生姓名指导教师赵晓博课程成绩完成日期 2012年3月30日课程设计任务书电气与电子工程学院电子信息专业课程名称EDA课程设计时间2011~2012学年第二学期2~6周学生姓名指导老师赵晓博题目数字钟的设计与制作主要内容:本课程设计主要是利用硬件描述语言VHDL的设计思想,采用自顶向下的方法、划分模块来设计数字钟的几个模块。
通过课程设计深入理解计算机的基本原理和方法,加深对计算机组成的理解。
要求:1.具有时、分、秒计数显示功能,且以24小时循环计时。
2.具清零的功能,且能够对计时系统的小时、分钟进行调整。
3.具有整点报时功能。
扩展:1.设置启/停开关。
当按下启/停开关,将启动时钟开始计时,当再按一下启/停开关时,将终止计时操作。
2.计时精度为1s。
3.复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备。
4.随意给定频率能分频至1s。
数字钟的设计与制作学生姓名:指导老师:赵晓博摘要系统使用EDA技术设计了数字钟,采用硬件描述语言VHDL按模块化方式进行设计,然后进行编程,时序仿真等。
利用VHDL语言完成了数字钟的设计。
该数字钟能实现时、分、秒计数的显示功能,且以24小时循环计时,具清零的功能,且能够对计时系统的小时、分钟进行调整,具有整点报时功能。
整个系统使用方便,功能齐全,精度高,具有一定的开发价值。
关键字数字钟;EDA;VHDL;目录1引言 (5)1.1课题的背景、目的 (5)1.2设计的内容 (5)2 EDA、VHDL简介 (6)2.1EDA技术 (6)2.2硬件描述语言——VHDL (6)★VHDL的简介 (6)★VHDL语言的特点 (7)★VHDL的设计流程 (7)3 数字钟设计 (8)3.1数字钟的工作原理 (8)3.1数字钟的实现流程 (8)3.2晶体振荡器 (12)3.3分频电路 (12)3.2部分程序实现 (12)4 系统仿真 (22)1.小时24制电路仿真图 (22)2.分钟59电路仿真图 (23)3.秒59制电路仿真图 (23)4.59转码电路仿真图 (233)5.24转码电路仿真图 (24)6.动态扫描电路仿真图 (24)7.整点报时电路仿真图 (25)结束语 (26)致谢 (26)参考文献 (26)附录 (27)1 引言随着社会的发展,科学技术也在不断的进步。
EDA课程设计论文 24制数字钟数字钟设计.
![EDA课程设计论文 24制数字钟数字钟设计.](https://img.taocdn.com/s3/m/06a1c32755270722192ef7d0.png)
EDA 课程设计报告书数字钟设计设计者指导老师:学号:专业班级: XXXXXXXXX 学院 201X.X.XX目录1. 摘要,关键字...............................................................3 2. 正文 (3)2.1设计任务及要求............................................................3 2.2方案选择与论证............................................................3 2.3方案的原理框图及其说明...................................................4 2.4硬件选择.....................................................................4 2.5系统设计详述...............................................................4 2.6系统仿真及分析............................................................ 6 2.7下载测试及分析............................................................9 2.8收获体会、存在问题和进一步的改进意见等 (10)3. 参考文献 (10)VHDL 语言实现数字电子钟的设计作者:xxx 指导老师:xxx (xx 大学xxxx 学院 xxx xxxx )[摘要]:随着基于PLD 的EDA 技术的发展和应用领域的扩大与深入,EDA 技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。
EDA技术实践课程设计进制计数器
![EDA技术实践课程设计进制计数器](https://img.taocdn.com/s3/m/bba74266ee06eff9aef807b7.png)
东北石油大学EDA技术实践课程设计年7月25日EDA技术实践课程设计任务书课程EDA技术实践课程设计题目24进制计数器专业电气工程及其自动化姓名学号主要内容:1.熟练掌握Quartus II软件的使用。
2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。
3.学会用例化语句对EDA电路设计中顶层电路进行描述。
基本要求:1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。
主要参考资料:[1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002.[2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001.[3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999.[4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002.[5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002.完成期限指导教师专业负责人年7 月18日目录1设计 (1)2方案选择与电路原理图的设计 (1)2.124进制计数器的基本原理 (1)2.2设计流程图 (1)2.3原理图 (1)374LS161元件说明 (2)3.1 简介 (2)3.274ls161管脚图与介绍 (2)3.374ls161功能表 (3)3.474ls161主要特点 (3)4设计过程 (4)4.1新文件的建立 (4)4.2宏功能模块的使用 (5)4.3普通元件的添加 (8)4.4 电路连接 (9)5功能仿真 (9)6出现的问题及调试方法 (11)7总结 (11)参考文献 (12)附录VHDL语言编写的该程序清单 (13)1 设计设计一个二十四进制计数器,计数状态从0~23,要求有译码显示。
24进制计数器
![24进制计数器](https://img.taocdn.com/s3/m/db7ee619964bcf84b9d57b31.png)
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:同步计数器二、实验目的与要求:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。
三、实验内容:通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:clk:计数时钟脉冲输入;clr:异步清零信号,高电平有效,此时输出显示为“00”ten[6..0]:十位数的7段数码管显示输出;one[6..0]:个位数的7段数码管显示输出;六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-------------------------------entity cnt24 isport(clk,clr:in std_logic;ten,one:out std_logic_vector(6 downto 0);co:out std_logic);end entity cnt24;---------------------------architecture str of cnt24 issignal ten_temp,one_temp:std_logic_vector(3 downto 0); begin--------------------------process(clr,clk) isbeginif (clr='1') thenten_temp<="0000";one_temp<="0000";co<='0';elsif (clk'event and clk='1') thenif(one_temp="0011" and ten_temp="0010") then one_temp<="0000";ten_temp<="0000";co<='1';elsif(one_temp="1001") thenten_temp<=ten_temp+1;one_temp<="0000";elseone_temp<=one_temp+1;end if;end if;end process;----------------------------process(one_temp) isbegincase one_temp iswhen"0000"=>one<="1111110";when"0001"=>one<="0110000";when"0010"=>one<="1101101";when"0011"=>one<="1111001";when"0100"=>one<="0110011";when"0101"=>one<="1011011";when"0110"=>one<="1011111";when"0111"=>one<="1110000";when"1000"=>one<="1111111";when"1001"=>one<="1111011";when others=>one<="1111110"; end case;end process;---------------------------------- process(ten_temp)isbegincase ten_temp iswhen"0000"=>ten<="0000000";when"0001"=>ten<="0110000";when"0010"=>ten<="1101101";when others=>ten<="1111110"; end case;end process;-----------------------------------end str;七、实验结果与分析:1,RTL仿真如下:2,时序仿真结果:3,代码下载后的部分实例:计数到23时,无进位。
24进制计数器课程设计
![24进制计数器课程设计](https://img.taocdn.com/s3/m/b6f29f4a2e3f5727a5e962cf.png)
24进制计数器设计系别:电子工程系专业:应用电子班级:学生姓名:学号:指导教师:设计流程图目录1、74LS290计数器、虚拟电子实验室相关 (3)1.1、74LS290计数器介绍 (3)1.2、M ULTISIM介绍及基本操作方法 (4)1.2.1、Multisim的主窗口界面。
(4)1.2.2、菜单栏(这里只介绍需要使用的菜单) (4)1.2.3、工具栏 (6)2、电路设计 (7)2.1计数器电路原理 (7)2.2、电路仿真效果图试 (8)3、元器件及仪器设备明细 (8)4、总结 (9)5、参考文献 (10)6、致谢 (11)前言本24进制计数器实现24进制计数及自动清零功能,它主要由脉冲、10进制加法器74LS290、共阴极LED数码管等构成。
通过找课外书,上网查找有关该设计方面的知识,自学Multisim10软件的操作,并进行防真实验,在28号到29查找资料学习计数器的知识,选出合适的芯片来设计电路,学习并使用虚拟电子实验室的基本用法。
30号以后进行电路的设计以及仿真调试。
1、74ls290计数器、虚拟电子实验室相关1.1、74ls290计数器介绍(1)74ls290的逻辑符号示意图:CP1、CP0是脉冲输入端,S9A\S9B、R0A\S0B、是置数控制端。
Q0\Q1\Q2\Q3是输出端。
(2)74ls2290的逻辑功能:74LS290是异步十进制计数器。
它由一个一位二进制计数器和一个异步五进制计数器组成。
如果计数脉冲由端CP0输入,输出由Q0端引出,即得二进制计数器;如果计数脉冲由CP1端输入,输出由Q1Q2Q3引出,即是五进制计数器;如果将Q0与CP1相连,计数脉冲由CP0输入,输出由Q0Q1Q2Q3引出,即得8421码十进制计数器。
因此,又称此电路为二-五-十进制计数器。
当复位输入R0AR0B=1,且置位输入S9AS9B=0时,74LS290的输出被直接置零;只要置位输入S9AS9B=1,则74LS290的输出将被直接置9,即Q0Q1Q2Q3=1001;只有同时满足R0AR0B=0和S9AS9B=0时,才能在计数脉冲(下降沿)作用下实现二-五-十进制加法计数。
设计24进制的计数器用VHDL实现
![设计24进制的计数器用VHDL实现](https://img.taocdn.com/s3/m/52de10dea58da0116c1749f0.png)
设计24进制的计数器用VHDL实现 设计24进制的计数器用VHDL实现 24进制的计数器用VHDL
实验目的:
• 通过两个十进制计数器 74ls160实现成一个二十四进制 的计数器,并且用vhdl硬件仿真 来实现它。
设计思路:
• 首先通过一个十进制计数器 74ls160,把它设计成为一个四 进制的计数器,然后用另一个十 进制的计数器74ls160,将它设计 成为一个六进制的计数器,最后 将他们用并行输出的方法连接起 来,从而就设计出了一个二十四 进制的计数器。
实验步骤:
• 首先利用一个十进制74ls160将其转换 成一个四进制的计数器,如图所示
D0
CP
D1
D2
D3 C CP “1”
LD
D0
D1
D2
D3 C
ET EP
74ls160
Q0 Q1 Q2 Q3
ET
74ls160
Q0 Q1 Q2 Q3
LD
“1”
RD
EP
RD
“1”
&
• 其次利用一个十进制74ls160将其转换 成一个六进制的计数器,如图所示
谢谢观赏
如图所示:
引脚锁定:
• 实验结论: 通过对两个相同的十进制计数器 74ls160的转换,最后成功的将其 转换成为二十四进制的计数器,理 论和实践统一。
• 经验总结: 通过学习书本上的知识和搜索课外书 籍,使我基本掌握了设计计数器的方法, 更重要老师对我的帮助,使我对计数器 产生了很大的兴趣,才能坚持将计数器 做完。在设计过程中遇到了很多问题, 尤其是在仿真时,最后一步引脚锁定, 不知如何下手,通过老师的讲解和同学 们的讨论,最后成功了。通过这次试验, 我要继续认真学习数字电子技术这本书, 了解更多的不同的设计方法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实训报告
课程名称:EDA设计
学生姓名:
学号:
专业班级:计算机软件
2013年10 月29 日
南昌大学实训报告
学生姓名:学号:专业班级:
设计□创新实训日期:10.9.6—10.9.14 实验成绩:
实训类型:□验证□综合
一、实训项目名称
通过原理图方法以及Verilog HDL语言进行编程两种方法实现24进制计数器。
二、实训目的
1.熟练掌握Quartus II软件的使用。
2.熟练掌握在QuartusII平台上用原理图或者Verilog HDL语言进行电
路设计的方法。
3.学会用例化语句对EDA电路设计中顶层电路进行描述。
三、实训要求
1.熟悉仿真开发软件Quartus II的使用;
2.根据功能要求,用原理图或文本输入方式完成设计;
3.用Quartus II做波形仿真调试;
4.下载至EDA试验仪调试设计。
四、实训基本原理(附源程序清单,原理图、RTL图)
一、通过Verilog HDL语言编程方法程序清单:
module ls161(Q,RCO,D,ET,EP,LOAD,CLR,CLK);
output [3:0] Q;
output RCO;
input [3:0]D;
input LOAD,ET,EP,CLR,CLK;
reg [3:0]Q;
wire EN;
assign EN = ET&EP;
always @(posedge CLK or negedge CLR) begin
if(!CLR)
Q = 4'b0000;
else if(!LOAD)
Q = D;
else if(EN)
begin
if(Q==9)
Q = 0;
else
Q = Q+1;
end
end
assign RCO = ((Q==4'b1001)&EN)?1:0; endmodule
module XS7D(DIN,DOUT);
input [3:0]DIN;
output [6:0]DOUT;
reg [6:0] DOUT;
always @(DIN)
begin
case(DIN)
0:DOUT = 7'b1000000;
1:DOUT = 7'b1111001;
2:DOUT = 7'b0100100;
3:DOUT = 7'b0110000;
4:DOUT = 7'b0011001;
5:DOUT = 7'b0010010;
6:DOUT = 7'b0000010;
7:DOUT = 7'b1111000;
8:DOUT = 7'b0000000;
9:DOUT = 7'b0010000;
endcase
end
endmodule
module COUNT24(QL,QH,CLK,RRCO);
output [6:0] QL,QH;
output RRCO;
input CLK;
wire [3:0] Q1,Q2;
wire RCOL,RCOH,RRCO,LOADL,LOADH,EN,LOAD;
wire [3:0]D1,D2;
wire VCC,GND;
assign D1 = 4'b0000,D2 = 4'b0000,VCC = 1,GND = 0;
ls161
u1(.Q(Q1),.RCO(RCOL),.D(D1),.ET(VCC),.EP(VCC),.LOAD(LOAD),.CLR(VCC),.CLK(CLK));
ls161 u2(.Q(Q2),.RCO(RCOH),.D(D2),.ET(EN),.EP(EN),.LOAD(LOADH),.CLR(VCC),.CLK(CLK)); XS7D u8(.DIN(Q1),.DOUT(QL));
XS7D u9(.DIN(Q2),.DOUT(QH));
nand u3(LOADL,Q1[3],Q1[0]);
nand u4(LOADH,Q2[1],Q1[0],Q1[1]);
not u5(EN,LOADL);
and u6(LOAD,LOADL,LOADH);
not u7(RRCO,LOADH);
endmodule
仿真结果:
二、原理图方法实现
仿真结果:
五、主要仪器设备、软件及耗材
安装有QuartusII的电脑一台。
六、实训步骤
1.按照课本或者资料提供的24进制计数器的电路原理图在QuartusII平台上按照原理图仿真的方法画出原理图,进行编译仿真,观看仿真结果。
2.对仿真结果所得出的波形图进行分析,看输出波形是否与我们设计要求相符合。
3.按照波输出结果与设计需要差对代码或者原理图进行调试。
4.调试程序知道输出波形与设计需要波形完全吻合说明设计成功了,保存工程文件。
5.尝试用原理图以及编辑代码两种方式进行设计达到相同的设计需要。
七、调试过程及处理结果
调试过程中,发现采用原理图法输出结果并不是24进制的计数器输出的波形,经过检查是由于芯片引脚接反了,改正引脚揭发后输出结果完全正确。
输出波形为24进制波形图。
八、思考讨论题或体会或对改进实验的建议
实验过程中通过采用原理图法以及vhdl语言编辑代码两种方法实现一个24进制计数器,观察输出波形,得到正确的输出结果,以及在实验过程中遇到问题自己的调试过程,使得我们更加熟练的掌握了QuartusII软件的正确使用方法以及操作技巧,也练习了采用vhdl语言例化语句定义硬件的顶层结构,熟悉了EDA编程的相关简单技巧,有助于我们以后更加深入的学习EDA编程。
九、参考资料
《CPLD/FPGA与ASIC设计实践教程》(第二版)。