基于FPGA的信号发生器设计

合集下载

基于fpga的dds正弦信号发生器的设计和实现

基于fpga的dds正弦信号发生器的设计和实现

基于FPGA的DDS正弦信号发生器的设计和实现引言在电子领域中,正弦信号是一种重要的基础信号,被广泛应用于通信、音频、视频等各个领域。

而DDS(Direct Digital Synthesis)直接数字合成技术则是一种通过数字方式生成高精度、高稳定性的正弦波信号的方法。

本文将详细介绍基于FPGA的DDS正弦信号发生器的设计和实现。

设计目标本次设计旨在实现一个可配置频率范围广泛且精度高的DDS正弦信号发生器。

具体设计目标如下: 1. 实现频率范围可调节,覆盖从几Hz到数十MHz; 2. 提供高精度的频率控制,满足特定应用场景对频率稳定性和相位精度的要求; 3. 支持模数转换器(DAC)输出,并能够通过外部接口控制输出幅值; 4. 使用FPGA作为主要硬件平台,以满足高速计算和灵活配置需求。

系统架构基于FPGA的DDS正弦信号发生器主要由以下几个部分组成: 1. 数字控制模块(Digital Control Module):负责接收外部输入的频率、相位和幅值等参数,并将其转换为对DDS核心模块的控制信号; 2. DDS核心模块(DDS Core Module):根据接收到的控制信号,通过数学运算生成正弦波形的离散采样值; 3. 数字模拟转换模块(Digital-to-Analog Converter, DAC):将DDS核心模块输出的数字采样值转换为模拟电压信号; 4. 输出放大器(Amplifier):用于放大DAC输出的电压信号,并通过外部接口提供可调节幅值的正弦波输出。

DDS核心模块设计DDS核心模块是整个系统中最关键的部分,它负责根据输入参数生成正弦波的离散采样值。

下面是DDS核心模块设计中需要考虑的几个关键要素:相位累加器相位累加器是DDS核心模块中最基础且重要的组件之一。

它根据输入的频率和时钟信号,在每个时钟周期内累加相位增量,从而实现相位连续变化。

相位累加器可以使用一个定点数或浮点数寄存器来表示,并通过固定步长进行相位递增。

基于FPGA的DDS信号发生器设计

基于FPGA的DDS信号发生器设计

基于FPGA的DDS信号发生器设计随着数字信号处理(DSP)技术的发展,直接数字频率合成器(DDS)逐渐取代了传统的频率合成器,成为一种高性能的信号发生器。

DDS信号发生器通过数字信号直接产生模拟信号,具有频率精度高、可编程性强和快速调频等优点。

本文将通过FPGA实现DDS信号发生器的设计。

首先,我们需要了解DDS信号发生器的基本原理。

DDS信号发生器的核心是相位累加器、查找表和数模转换器(DAC)。

通过累加器产生相位累积,将相位累积的结果通过查找表得到对应的振幅值,并经过数模转换器输出模拟信号。

1.确定需要生成的信号的参数,包括输出频率、相位步进精度、振幅等。

根据这些参数,计算累加器的增量值,即每个时钟周期累加器需要累加的值。

2.在FPGA中设计相位累加器。

相位累加器的宽度取决于相位步进精度,一般为32位或64位。

通过在每个时钟周期加上增量值,实现相位的累加。

3.设计查找表。

查找表的大小取决于数字信号的分辨率,一般为2^N 位。

通过输入相位值查找对应的振幅值。

4.设计数模转换器(DAC)。

通过DAC将数字信号转换为模拟信号输出。

5.在FPGA中实现控制逻辑,包括控制相位累加器和查找表的读写操作,使其按照设定的参数进行相位累加和振幅输出。

6.将设计好的FPGA模块进行综合、布局和时序约束,生成比特流文件。

通过以上步骤,基于FPGA的DDS信号发生器的设计就完成了。

设计好的FPGA模块可以实现高精度、高稳定性的信号发生器,广泛应用于通信、雷达、医疗设备等领域。

需要注意的是,在设计过程中需要考虑到FPGA的资源限制,包括LUT资源的利用、频率分辨率和输出频率的限制等。

此外,还可以通过增加相位累积周期、使用多路查找表和多路DAC等方法进一步优化设计。

综上所述,基于FPGA的DDS信号发生器设计是一个较为复杂的过程,需要对DDS原理有深入的理解,并结合FPGA的特点进行设计。

通过合理的设计和优化,可以实现高性能的DDS信号发生器。

基于FPGA的信号发生器设计..

基于FPGA的信号发生器设计..

2013年全国大学生电子设计竞赛基于FPGA的信号发生器设计题目:信号发生器班级: xxxxxxxxxxxx成员: xxx指导老师: xxx2013年7月30日目录一、设计要求 (4)1. 基本要求 (4)二、设计方案 (4)三、系统基本原理 (5)3.1函数信号发生器的几种实现方式 (5)3.1.1程序控制输出方式 (5)3.1.2 DMA输出方式 (6)3.1.3可变时钟计数器寻址方式 (6)3.1.4直接数字频率合成方式 (7)3.2频率合成器简介 (7)3.2.1频率合成技术概述 (7)3.2.2频率合成器主要指标 (8)2.3 DDS原理 (9)3.3.1相位累加器 (9)3.3.2波形ROM (11)3.3.3 DDS频率合成器优缺点 (11)四、单元模块设计 (12)4.1系统框图 (12)4.2相位累加器与相位寄存器的设计 (13)4.3波形ROM的设计 (14)4.4频率控制模块的设计 (17)4.5 D/A转换器 (18)4.6滤波模块 (20)五、系统源程序 (20)5.1 Verilog HDL 源程序: (20)5.2 STM32 源程序: (24)摘要直接数字频率合成DDS(Direct Digital Synthesizer)是基于奈奎斯特抽样定理理论和现代器件生产技术发展的一种新的频率合成技术。

与第二代基于锁相环频率合成技术相比,DDS具有频率切换时间短、频率分辨率高、相位可连续变化和输出波形灵活等优点,因此,广泛应用于教学科研、通信、雷达、自动控制和电子测量等领域。

该技术的常用方法是利用性能优良的DDS专用器件,“搭积木”式设计电路,这种“搭积木”式设计电路方法虽然直观,但DDS专用器件价格较贵,输出波形单一,使用受到一定限制,特别不适合于输出波形多样化的应用场合。

随着高速可编程逻辑器件FPGA的发展,电子工程师可根据实际需求,在单一FPGA上开发出性能优良的具有任意波形的DDS系统,极大限度地简化设计过程并提高效率。

基于FPGA的m序列信号发生器设计

基于FPGA的m序列信号发生器设计

基于FPGA的m序列信号发生器设计摘要:m序列是一种伪随机序列(PN码),广泛用于数据白噪化、去白噪化、数据传输加密、解密等通信、控制领域。

基于FPGA与Verilog硬件描述语言设计井实现了一种数据率按步进可调、低数据误码率、反馈多项式为的m序列信号发生器。

系统时钟为20MHz,m序列信号发生器输出的数据率为20~100 kbps,通过2个按键实现20 kbps步进可调与系统复位,输出误码率小于1%。

m序列是最长线性反馈移位寄存器序列的简称,它是由带线性反馈的移位寄存器产生的周期最长的一种伪随机序列。

是由移位寄存器、反馈抽头及模2加法器组成。

m序列一旦反馈多项式及移位寄存器初值给定,则就是可以预先确定并且可以重复实现的序列,该特点使得m序列在数据白噪化、去白噪化、数据传输加密、解密等通信、控制领域使用广泛。

因此,深入学习研究m序列具有重要的实际意义。

1 m序列信号发生器的组成基于FPGA的m序列信号发生器硬件结构极其简单,仅需两个独立按键(一个是复位按键与另一个控制数据率切换按键)、一个48 MHz 的用于提供系统时钟有源晶振、系统电源、一块配置芯片、几个简单的电阻与电容即可实现。

按键去抖动、按键复位、按键切换数据率、时钟分频等功能均在FPGA内部编程实现。

2 m序列信号发生器的关键设计本文中m序列信号发生器的反馈多项式为。

其反馈及移位寄存器的关系图如图2所示。

从本设计中的反馈及移位寄存器的关系图可以看出,一个时钟周期,移位寄存器右移一位,最高位输入为x0、x2、x3、x4及x8的异或(模2相加)。

m序列的输出是移位寄存器的最低位。

图2所示的关系是m序列呈现为随机性、周期性的根本原因。

为了满足信号发生器输出数据率20 kbps的步进通过按键可调,则生成按步进20 kbps可调的时钟是实现该功能的关键。

当按键发生,时钟的输出频率加20 kbps。

在实际电路中,按键会有很大抖动,对系统会造成很大的不稳定性,因此,必须想办法减小这样的不利影响。

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计

基于FPGA的函数信号发生器设计摘要在信号发生器的设计中,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大,可移植性差。

本设计是利用EDA技术设计的电路,该信号发生器可以输出四种信号,分别是正玄波、方波、三角波、锯齿波,可以通过外部的按键选择波形并调节波形的幅度、相位和频率。

侧重叙述了用FPGA来完成直接数字频率合成器(DDS)的设计,通过调用四个ROM 里面的数据来实现,这里需要一个加法器和一个累加器来产生 ROM 的地址。

通过不断让地址累加,从而不断地从 ROM 中读取波形数据,然后将数据送往 DACTLC5615的驱动模块中,这样最终便输出模拟的波形,最后通过示波器演示仿真结果。

与传统的频率合成方法相比,DDS合成信号具有频率切换时间短、频率分辨率高、相位变化连续等诸多优点。

使用FPGA器件的高性能、高集成度相结合,可以克服传统DDS 设计中的不足,从而设计开发出性能优良的DDS系统。

关键词:FPGA;函数信号发生器;DDS;DAC;The design of function generator based on FPGAAbstractIn the signal generator design , the traditional method of using discrete long design cycle components or general purpose digital circuit component design of electronic circuits , expensive, poor portability . This design is the use of EDA technology designed circuit , the signal generator can output four signals , which are sine wave, square wave , triangle wave , sawtooth wave, you can select and adjust the waveform amplitude, phase and frequency of the waveform by an external button.Emphasis describes the use of FPGA to complete direct digital frequency synthesizer (DDS) design , by calling four ROM inside the data to achieve here need an adder and an accumulator to generate ROM address. By constantly make address accumulate, thereby continuously reads the waveform data from the ROM , and then the data is sent to DACTLC5615 drive module , so that the final output will be analog waveforms, and finally through the oscilloscope display simulation results.Compared with the conventional method of frequency synthesis , DDS frequency synthesized signal having a short switching time , high frequency resolution, and many other advantages of continuous phase change . FPGA devices using high-performance, highly integrated combination of design can overcome the shortcomings of traditional DDS , which designed and developed the excellent performance of the DDS system .Keywords: FPGA; function signal generator; DDS; DAC;目录第一章绪言 (1)1.1 课题背景 (1)1.2 课题研究的目的和意义 (1)1.3 国内外的研究状况 (2)1.3.1波形发生器的发展状况 (2)1.3.2 国内外波形发生器产品比较 (3)1.3.3 本课题在国内外的研究现状 (3)第二章信号发生器的方案设计 (4)2.1硬件组成部分 (4)2.1.1 FPGA实验板 (4)2.2外围电路 (6)2.2.1TLC5615芯片 (6)2.2.2 TLC5615工作原理 (8)2.3 RC低通滤波电路 (9)第三章直接数字合成技术(DDS) (11)3.1 直接数字合成技术(DDS) (11)3.2 DDS 的基本原理 (11)3.3 DDS 的性能分析 (12)3.3.1 DDS理想抽样频谱 (12)3.4 DDS 杂散频谱分析 (13)3.4.1相位截断对输出信号频谱的影响 (13)3.4.2 D/A 非理想特性及参考时钟相位噪声对输出信号频谱的影响 (13)3.5基于DDS的信号函数发生器 (14)3.5.1 整体框图及其说明 (14)3.5.2 按键消抖模块 (14)3.5.3 按键编码 (16)3.5.4 DDS 信号发生器 (18)3.5.5 RTL电路图 (20)第四章实验分析 (21)4.1 实验过程 (21)4.1.1 程序调试 (21)4.2 实验结果 (23)4.3 实验总结 (24)致谢 (24)参考文献 (25)第一章绪言1.1 课题背景在一些电子设备的电路板故障检测仪中,往往需要频率、幅度都能由计算机自动调节的信号源。

基于FPGA的信号发生器的设计

基于FPGA的信号发生器的设计

的飓 风 系列 cc n yl e可 编程 门 阵列 芯 片 和 A 公 司的 A 8 7D/ 转 换 器) 实现 了信 号发 生 器 的设 计 , 针 对 工 程 设 计 容 易 出现 的 问题 , 出 o D D74 ( A , 并 给 了解决 的 思路 。
【 关键词 l 现场 可编程逻辑 门阵列; 数模转换器
a h I t o to 8 t e GP O o c nr l AD9 4 s mp i g a d ta se . ih o l e d smp e h r wa e cr u ta d s me s fw r n tu to s 7 a l n r n f r n wh c n y n e i l a d r ic i n o ot a e i sr c in .
【 ywod ]P A; / Ke rsF G DA
0 引言
在 仪 表 着陆 系 统 ( s是 引 导 飞 机 自动 着 陆 的重 要 系 统 , 设计 机 i) t 为
FG P A传 送 此 幅 度 信 息 ;P A 中 的存 贮 着 1个 周 期 的复 合 信 号 的 波 FG
形 ,只 有 加 电 F G 即执 行 9 H PA 0 z正 弦 信 号 、5 H 10 z正 弦 信 号 生 成 程 序 , 别 通 过 D A网 络 1和 DA 网 络 3, 分 / / 将数 字量 变 成 模 拟 量 , 而 得 从 载设 备 , 对 地 面 信 号进 行 模 拟 , 必须 即模 拟 空 间 的 调 制 信 号 非 常关 键 , 到 9 H 0 z正 弦 信 号 、5 H 10 z正 弦 信 号 ,0 z正 弦 信 号 的 幅 度 控 制 由单 9H 为此 我 们 设 计 了 一 种基 于 F G 的复 合 信 号 发 生 器 , PA 利用 F G P A产 生 片 机控 制 DA 网络 2产 生 9 H 基 准 电 压而 实 现 ,5 Hz 弦信 号 的 / 0z 10 正 所 需 要 的 复合 调 制 信 号 。 幅 度 控 制 由 单 片 机 控 制 D A 网 络 4产 生 10 z基 准 电 压 而 实 现 ; / 5H

基于FPGA的DDS信号发生器设计

基于FPGA的DDS信号发生器设计

基于FPGA的DDS信号发生器设计随着现代科技的不断发展,数字信号发生器(DDS)已成为各种电子设备中常用的一种功能模块。

使用DDS技术可以生成高质量、高稳定性的各种频率和波形的信号。

而FPGA(Field Programmable Gate Array)作为一种可编程逻辑器件,具有高集成度、高速度和灵活性等优势,逐渐成为了实现DDS信号发生器的主要选择之一一、DDS技术概述DDS(Direct Digital Synthesis)技术是一种基于数字直接合成的方法,通过计算机算法精确地合成期望的波形。

它的工作原理是将时钟信号分频得到一系列的离散相位值,再通过查表和插值的方法得到对应的幅值,最后通过一个DAC(Digital to Analog Converter)将数字信号转换为模拟信号输出。

二、基于FPGA的DDS信号发生器设计步骤1.系统架构设计根据DDS信号发生器的要求,确定所需的系统架构。

一般包括时钟模块、相位累加器、查找表、插值器、DAC和控制逻辑等模块。

2.时钟模块使用FPGA内部的资源或外部时钟源生成所需的系统时钟信号。

可以通过时钟分频和PLL(Phase-Locked Loop)锁相环技术来实现对系统时钟的精确控制。

3.相位累加器利用FPGA的寄存器或分频模块实现相位累加功能。

通过周期性地累加相位增量,可以得到DDS信号的相位。

4.查找表利用FPGA内部的RAM(Random Access Memory)存储相位对应的幅值。

根据相位的大小来查找对应的幅值,存储在RAM中。

5.插值器可以通过线性插值或差值的方法对相位查找表的输出进行插值,以提高输出信号的精度和稳定性。

6.DAC将插值器输出的数字信号转换为模拟信号,通过FPGA的IO端口或专用的DAC芯片输出到外部电路。

7.控制逻辑设计合适的控制逻辑,可以通过外部接口或FPGA内部的控制模块来控制DDS信号发生器的频率、幅值、相位偏移等参数。

基于FPGA信号发生器的设计!

基于FPGA信号发生器的设计!

目录摘要 (2)1 前言 (3)2 设计方案 (5)2.1 总体设计思路 (5)2.2 方案论证 (6)2.2.1方案一 (6)2.2.2方案二 (6)2.2.3方案三 (6)2.3 方案确定 (7)3 软件设计 (8)3.1 波形产生模块 (8)3.1.1正弦波 (8)3.1.2 矩形波 (10)3.1.3 三角波 (10)3.1.4 基波 (11)3.1.5谐波的产生 (11)3.1.6 波形模块图 (11)3.2 频率控制模块 (11)3.3 选择波形模块 (11)3.4 分频器模块 (13)3.4.1 设计思路 (13)3.4.2 VHDL实现 (13)3.4.3 分频值计算 (14)4 硬件电路设计 (15)4.1 硬件设计注意事项 (15)4.2滤波电路 (15)4.3 幅度控制电路 (16)4.4 FPGA器件引脚分配 (16)4.5 硬件电路实现 (17)5 调试 (18)5.1设计及仿真调试使用设备 (18)5.2 调试方法 (18)5.2.1 硬件调试 (18)5.2.2 软件调试 (18)5.2.3 综合调试 (19)5.3 调试结果 (19)5.3.1 软件仿真结果及分析 (19)5.3.2 综合调试结果 (21)6 结论 (24)致谢辞 (25)参考文献 (26)附录 (27)基于FPGA的信号发生器摘要本次设计课题为应用VHDL语言及MAX+PLUS II软件提供的原理图输入设计功能,结合电子线路的设计加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。

具有产生三种基本波形脉冲信号(正弦波、矩形波和三角波),以及三次(及三次以下)谐波与基波的线性组合脉冲波形输出,且单脉冲输出脉宽及连续脉冲输出频率可调,范围从100HZ到1kHZ,步进为100HZ;幅度可调,从0到5伏,步进为0.1V。

基于FPGA的DDS信号发生器设计

基于FPGA的DDS信号发生器设计

基于FPGA的DDS信号发生器设计一、引言随着科技的不断发展,数字信号处理(Digital Signal Processing,简称DSP)在各个领域得到广泛应用。

其中,一种常见的应用是通过数字直接合成(Digital Direct Synthesis,简称DDS)来生成各种信号。

DDS信号发生器能够快速准确地产生高质量的信号,被广泛应用于通信、电子测量、医疗器械等领域。

本文将阐述基于现场可编程门阵列(Field-Programmable Gate Array,简称FPGA)的DDS信号发生器设计。

二、FPGA的简介FPGA是一种可编程的逻辑器件,其内部包含了大量可编程的逻辑单元和存储单元。

FPGA具有灵活性高、可重构性强等特点,可以根据设计者的需求,灵活地实现各种数字电路。

因此,FPGA成为DDS信号发生器设计的理想平台。

三、DDS技术原理DDS技术通过数字控制相位累加器和振荡器来实现信号的直接合成。

其中,相位累加器用于控制振荡器输出频率的连续调节,振荡器则根据相位累加器的输出产生正弦波。

DDS信号发生器的主要步骤如下:1. 初始化相位累加器:将初始相位值存入相位累加器。

2. 累加相位值:相位累加器根据设定的增量值不断累加,得到一个新的相位值。

3. 查表获得振荡器的输出值:通过查表法,根据相位值获得振荡器的输出幅度。

4. 输出信号:根据振荡器的输出幅度,形成DDS信号。

四、1. 系统架构设计:本设计采用基于FPGA的硬件逻辑实现DDS信号发生器。

系统由相位累加器、振荡器、幅度调节模块和输出模块组成。

其中,相位累加器使用FPGA中的计数器实现,振荡器采用三角函数计算逼近的方式实现,幅度调节模块用于调节振荡器的输出幅度,输出模块将DDS信号输出到外部。

2. 相位累加器设计:相位累加器是DDS信号发生器的核心模块。

本设计采用基于FPGA的计数器实现相位累加器,通过控制计数器的计数速度来调节信号的频率。

基于FPGA的函数信号发生器的设计报告

基于FPGA的函数信号发生器的设计报告

基于FPGA的函数信号发生器的设计报告课题要求1、技术要求(EDA技术,VHDL语言,层次化设计)(1)EDA发展概况电子设计技术的核心就是EDA技术,EDA是指以计算机为工作台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。

EDA技术已有30年的发展历程,大致可分为三个阶段。

70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。

80年代为计算机辅助工程(CAE)阶段。

与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。

CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

90年代为电子系统设计自动化(EDA)阶段。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。

为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。

在EDA软件开发方面,目前主要集中在美国。

但各国也正在努力开发相应的工具。

日本、韩国都有ASIC 设计工具,但不对外开放。

中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。

相信在不久的将来会有更多更好的设计工具有各地开花并结果。

据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

EDA技术发展迅猛,完全可以用日新月异来描述。

EDA技术的应用广泛,现在已涉及到各行各业。

EDA水平不断提高,设计工具趋于完美的地步。

EDA市场日趋成熟,但我国的研发水平还很有限,需迎头赶上(2)VHDL语言简介VHDL是一种全方位的硬件描述语言,包括系统行为级。

基于FPGA的数字信号发生器设计

基于FPGA的数字信号发生器设计

基于FPGA的数字信号发生器设计摘要数字信号发生器是数字信号处理中不可缺少的调试设备,在生产生活中的应用非常广泛。

本文所设计的内容就是基于Altera公司的现场可编程门阵列(FPGA)实现数字信号发生器的设计,FPGA具有密度高,功耗低,体积小,可靠性高等特点,设计时可以不必过多考虑具体硬件连接;本设计中应用VHDL硬件描述语言进行描述,使该数字信号发生器可以产生正弦波、方波、三角波、锯齿波四个独立的波形,并能对所产生的四种波形的频率和幅度进行调节。

关键词:直接数字频率合成;数字波形发生器;FPGA;DDS;VHDLDesign Of FPGA-based Digital Signal GeneratorYang Chunjian(College of Physics Science and Information Engineering, Jishou University,Jishou Hunan 416000)AbstractDigital signal transmitter as a test facility is an important part of information processing system. In the production of a wide range of application of life. This content is designed by Altera, based on field programmable gate array (FPGA) design of digital signal generator, FPGA has a high density, low power consumption, small size, high reliability, can not have too much to consider wher designing specific hardware connection; the design of the application of VHDL hardware description language to describe, so that the digital signal generator can produce sine, square, triangle, sawtooth waveforms of four independent,and is able to produce four waveforms by the frequency and amplitude adjustment.Key words:Direct Digital Frequency Synthesis;Digital Waveform Generator; FPGA;DDS;VHDL目录第一章绪论 (1)1.1 背景与意义 (1)1.2 国内外发展现状 (1)第二章相关工具介绍 (3)2.1 DDS技术 (3)2.2 FPGA简介 (4)2.3 VHDL简介 (5)2.4 QuartusⅡ简介 (7)第三章系统硬件电路设计 (9)3.1 数字信号发生器的系统组成 (9)3.2 设计原理及要求 (9)3.3 输入部分 (10)3.3.1频率、幅值和波形转换部分 (10)3.3.2系统时钟电路 (12)3.3.3 电源电路的设计 (12)3.4 FPGA部分 (12)3.5 D/A转换部分 (13)3.5.1 DAC0832转换器简介 (14)3.6 滤波电路 (15)第四章系统软件设计 (17)4.1软件系统流程图 (17)4.2 数字信号发生器的软件设计 (17)4.2软件各模块 (18)4.2.1 主控制模块 (18)4.2.2 波形数据产生模块 (19)结束语 (23)参考文献 (24)附录 (25)第一章绪论1.1 背景与意义在电子技术领域,常常需要波形、频率、幅度都可调的电信号,用于产生这种电信号的电子仪器称作信号发生器。

基于FPGA的信号发生器设计论文

基于FPGA的信号发生器设计论文

摘要信号发生器是数字设备运行工作中必不可少的一部分,没有良好的信号源,最终就会导致系统不能够正常工作,更不必谈什么实现其它功能了。

本次论文主要研究了基于FPGA的函数信号发生器的设计思路与软硬件实现。

首先介绍了本次设计任务的总体设计方案,以及该方案中涉及的知识点,所使用的软件及硬件基本知识。

在此基础上进行了硬件电路的设计,主要采用DDS(直接数字频率合成)方案,采用了Altera 公司的低成本cyclone II系列FPGA的EP2C5QC8作为核心芯片,构建了外围的0832DA转换电路,以及1MHZ低通滤波电路。

再次介绍系统软件的设计过程,给出了FPGA自底向上的设计思路,以及各个底层模块的设计原理与思路分析,最后介绍了相关软件的应用知识。

最后一段介绍了论文的相关结论,进行仿真调试的过程。

实现了设计任务的频率从100HZ到1MHZ可调,幅度从0.1V到5V可调的功能。

系统的设计方案和设计过程具有参考和学习价值。

关键词:信号发生器FPGA Modelsim Verilog语言AbstractThe signal generator is an essential part of the work of digital equipment operation, without a good source, and ultimately will cause the system to work properly, not to talk about the achievement of other features. This thesis studied the software and hardware design of the FPGA-based Signal Generator. First introduced the overall design of the design task, and knowledge involved in the program, use basic software and hardware knowledge. On this basis, the hardware circuit design, using DDS (Direct Digital Frequency Synthesizer) program, using Altera's low-cost cyclone II FPGA series EP2C5QC8 core chip to build a peripheral 0832DA conversion circuit, and 1MHZ low pass filter circuit. Re-introduce the system software design process, given FPGA design ideas from the bottom up, as well as the design principles and ideas of the bottom module, and finally introduced a software application knowledge. The last paragraph of the conclusions of the paper, simulation debugging process. Design task frequency is adjustable from 100HZ to 1MHZ function of the adjustable range from 0.1V to 5V. System design and design process has a reference and learning value.Keywords: Signal generator,FPGA,Modlesim,Verilog HDL目录摘要 (I)ABSTRACT (II)目录.............................................................................................................. I II 前言. (1)1 概述 (3)1.1FPGA简介 (3)1.2 MODELSIM简介 (8)1.3DDS基本原理介绍 (9)2 设计方案 (12)2.1总体设计思路 (12)2.2方案论证 (13)2.2.1方案一 (13)2.2.2方案二 (13)2.2.3方案三 (14)2.3方案确定 (14)3 硬件电路设计 (16)3.1硬件设计注意事项 (16)3.2DA电路 (16)3.3滤波电路 (18)3.4硬件电路实现 (20)4 软件设计 (21)4.1波形产生模块 (21)4.1.1正弦波 (21)4.1.2 矩形波 (23)4.1.3 三角波 (24)4.2频率控制模块 (24)4.3相位累加模块 (25)4.3选择波形模块 (26)4.4幅度控制模块 (27)5 调试 (32)5.1设计及仿真调试使用设备 (32)5.2调试方法 (32)5.2.1 硬件调试 (32)5.2.2 软件调试 (32)5.2.3 综合调试 (33)5.3调试结果 (33)5.3.1 软件仿真结果及分析 (33)5.3.2 综合调试结果 (38)总结 (39)致辞 (40)参考文献 (41)附件1 ROM生成元程序 (42)附件2 40位流水线加法器程序 (44)前言随着我国的经济日益增长,社会对电子产品的需求量也就越来越大,目前我国的电子产品市场正在迅速的壮大,市场前景广阔。

基于FPGA的函数信号发生器的设计报告

基于FPGA的函数信号发生器的设计报告

基于FPGA的函数信号发生器的设计报告课题要求1、技术要求(EDA技术,VHDL语言,层次化设计)(1)EDA发展概况电子设计技术的核心就是EDA技术,EDA是指以计算机为工作台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。

EDA技术已有30年的发展历程,大致可分为三个阶段。

70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。

80年代为计算机辅助工程(CAE)阶段。

与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。

CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

90年代为电子系统设计自动化(EDA)阶段。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。

为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。

在EDA软件开发方面,目前主要集中在美国。

但各国也正在努力开发相应的工具。

日本、韩国都有ASIC 设计工具,但不对外开放。

中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。

相信在不久的将来会有更多更好的设计工具有各地开花并结果。

据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

EDA技术发展迅猛,完全可以用日新月异来描述。

EDA技术的应用广泛,现在已涉及到各行各业。

EDA水平不断提高,设计工具趋于完美的地步。

EDA市场日趋成熟,但我国的研发水平还很有限,需迎头赶上(2)VHDL语言简介VHDL是一种全方位的硬件描述语言,包括系统行为级。

基于fpga的dds正弦信号发生器的设计和实现

基于fpga的dds正弦信号发生器的设计和实现

基于fpga的dds正弦信号发生器的设计和实现
基于FPGA的DDS正弦信号发生器可以使用两种常见的实现
方法:Look-Up Table (LUT) 方法和相位累积器方法。

1. LUT方法:
- 首先,定义一个存储正弦波样本值的LUT (Look-Up Table),LUT的大小取决于所需的精度和波形频率范围。

- 使用一个计数器来生成一个相位值,该相位值是一个0到LUT大小之间的数字。

- 将该相位值作为索引,通过查找LUT来获取对应的正弦波
样本值。

- 将该正弦波样本值通过数字-模拟转换器(DAC)转换为模拟
信号输出。

2. 相位累积器方法:
- 使用一个固定频率的时钟作为参考信号输入,例如50 MHz。

- 使用一个相位累积器累积每个时钟周期的相位值。

- 计算相位值对应的正弦波样本值,并通过DAC转换为模拟信号输出。

- 相位累积器的更新频率由所需的输出频率确定,可以通过
增加或减小相位值的增量来调节输出频率。

需要注意的是,FPGA上实现DDS正弦信号发生器时,需要
一个高速的DAC来将数字信号转换为模拟信号输出。

同时,
为了提高性能和减少功耗,可以采用流水线技术,通过并行处理来提高输出频率的精度和速度。

此外,还可以通过添加相位调制、振幅调制等功能来进一步扩展DDS正弦信号发生器的
功能。

要设计和实现基于FPGA的DDS正弦信号发生器,可以使用硬件描述语言如Verilog或VHDL编写相应的代码,并使用FPGA开发工具进行综合、布局以及生成比特流文件。

最后,将比特流文件加载到目标FPGA芯片上,就可以实现DDS正弦信号发生器的功能。

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计以FPGA 芯片为载体,通过QuartusII 的LPM_ROM 模块和VHDL 语言为核心设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5 种信号,通过QuartusII 软件进行波形仿真、定时分析,仿真正确后,利用实验板提供的资源,下载到芯片中实现预定功能。

信号发生器又称为波形发生器,是一种常用的信号源,广泛应用于电子电路、通信、控制和教学实验等领域。

它是科研及工程实践中最重要的仪器之一,以往多用硬件组成,系统结构比较复杂,可维护性和可操作性不佳。

随着计算机技术的发展,信号发生器的设计制作越来越多的是用计算机技术,种类繁多,价格、性能差异很大。

用FPGA 或CPLD 来实现,它的优点是可以进行功能仿真,而且FPGA 和CPLD 的片内资源丰富,设计的流程简单。

用FPGA 所构成的系统来产生波形信号,这个系统既能和主机系统相连,用相应的上层软件展示波形信号,又方便程序的编写,而且还有A/D0809 接口可以产生模拟信号的输出和外面的示波器相连。

1 正弦信号发生器的LPM 定制正弦信号发生器由计数器或地址发生器(6 位)、正弦信号数据ROM (6 位地址线,8 位数据线,含有64 个8 位数据,一个周期)、原理其框最后将波形数据送入D/A 转换器,将数字信号转换为模拟信号输出。

用示波器测试D/A 转换器的输出,可以观测到5 种信号的输出。

1.1 定制初始化数据文件QuartusII 能接受的LPM_ROM 模块中的初始化数据文件的格式有两种:。

mif 格式文件和。

hex 格式文件。

实际应用中只要使用其中一种格式的文件。

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计

引言信号发生器是一种常用的信号源,广泛应用于电子电路、自动控制和科学试验等领域。

传统的信号发生器一般基于模拟技术,但基于模拟技术的传统信号发生器能生成的信号类型比较有限,一般只能生成少数的规则波形信号。

如果需要生成较复杂的波形信号,电路的复杂度以及设计难度都将大大增加。

任意波形发生器就是为了解决这个问题而去研究的,任意波形发生器是利用DDS(直接数字频率合成)技术,通过在波形存储器内存储待输出信号的波形采样数据,并对波形存储器进行顺序的循环寻址来合成波形。

只要改变波形存储器中的波形采样数据,就可以方便的得到需要波形的信号。

因此任意波形发生器不仅能产生正弦、余弦、方波、三角波和锯齿波等常见信号,还可以通过各种编辑手段生成任意的波形采样数据,方便的合成传统信号发生器所不能生成的任意波形信号,从而满足各种测试和实验的需要。

当今时代微电子技术的进步以及各应用领域多样化的要求,促使集成电路向高速、高集成度、低功耗的系统集成方向发展。

在单芯片上集成嵌入式CPU、DSP、存储器和其他功能的片上系统(SOC)正处于高速发展中。

Altera公司提出的片上可编程系统(SOPC)解决方案,使得FPGA在嵌入式系统设计领域中的地位越来越重要。

SOPC(System On Programmable Chip可编程片上系统)是一种特殊的嵌入式微处理器系统。

首先,它是片上系统(SOC, System On Chip),即由单个芯片完成整个系统的主要逻辑功能;其次,它是可编程系统,以FPGA为硬件基础,具有灵活的设计方式,可裁减、可扩充、可升级,并具备软硬件系统在线可编程的功能。

本设计就是采用SOPC方案,以DDS技术为核心技术构建了波形发生器的整个系统,这样可以避免了传统信号发生器的缺点,方便地实现了信号的产生。

1 总体设计概述FPGA(现场可编程门阵列)是一项非常前沿和有发展前景的技术。

FPGA的芯片实际上就是一片已经制造好的大规模集成电路芯片。

基于FPGA的信号发生器

基于FPGA的信号发生器

数字信号发生器实验设计报告摘要:使用数字芯片特别是可编程逻辑器件来实现看似复杂的功能电路往往既简单又节省资源。

典型信号如:方波,锯齿波,三角波,正弦波等在实验或工程中应用极广,所以本实验使用VHDL 及原理图相结合的方法在FPGA 上实现数字信号的发生。

关键字:VHDL,FPGA,信号发生 一、设计方案:(1)方波,锯齿波,三角波均由计数器结合条件语句实现; (2)正弦波采用定制ROM,然后通查找表的方法实现; (3)使用多选一选择器选择四种波型之一;(4)输出波型的频率由分频程序对输入波频率进行分频而得,分频数由按键输入二进制数实现。

二、方案实现: ①方波:library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pulse isport(fclk,reset: in std_logic;d_out: out std_logic_vector(7 downto 0)输入方波信号分频模块方波锯齿波三角波正弦波四选一多路选择器D A 转换模拟 波形分频数2341按键);end pulse;architecture behave of pulse issignal a: std_logic;beginprocess(fclk,reset)variable tmp: std_logic_vector(7 downto 0); beginif reset='1' thena<='0';elsif rising_edge(fclk) thenif tmp="11111111" thentmp:="00000000";elsetmp:=tmp+1;end if;if tmp<="10000000" thena<='1';elsea<='0';end if;end if;end process;process(fclk,a)beginif rising_edge(fclk) thenif a='1' thend_out<="11111111";elsed_out<="00000000";end if;end if;end process;end behave;②锯齿波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity juchi isport(fclk: in std_logic;reset: in std_logic;d_out: out std_logic_vector(7 downto 0) );end juchi;architecture arch of juchi issignal da: std_logic_vector(7 downto 0);beginprocess(fclk,reset,da)beginif reset='1' thenda<="00000000";elseif fclk='1' and fclk'event thenif da<255 thenda<=da+1;else da<="00000000";end if;end if;end if;end process;d_out<=da;end arch;③三角波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity rectangle isport(fclk,reset: in std_logic;d_out: out std_logic_vector(7 downto 0));end rectangle;architecture behave of rectangle isbeginprocess(fclk,reset)variable tmp: std_logic_vector(7 downto 0);variable a: std_logic;beginif reset='1' thentmp:="00000000";elsif rising_edge(fclk) thenif a='0' thenif tmp="11111110" thentmp:="11111111";a:='1';elsetmp:=tmp+1;end if;elseif tmp="00000001"thentmp:="00000000";a:='0';elsetmp:=tmp-1;end if;end if;end if;d_out<=tmp;end process;end behave;④正弦波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sinadd isport(fclk,reset: in std_logic;address: out std_logic_vector(5 downto 0));end sinadd;architecture behave of sinadd isbeginprocess(fclk,reset)variable cnt: std_logic_vector(5 downto 0):="000000"; beginif reset='1' thencnt:="000000";elseif fclk='1' and fclk'event thencnt:=cnt+1;end if;end if;address<=cnt;end process;end behave;⑤分频:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport ( clk: in std_logic;reset: in std_logic;fclk: out std_logic;keyya,keyyb,keyyc,keyyd: in std_logic);end entity;architecture behave of fenpin issignal fclkk:std_logic;signal cnt: std_logic_vector(3 downto 0);signal cntt: std_logic_vector(3 downto 0);begincntt<=keyya&keyyb&keyyc&keyyd;process(clk,reset)variable cnt: std_logic_vector(3 downto 0);beginif(reset='1') thenfclkk<='0';cnt:=(others=>'0');elseif(clk'event and clk='1') thenif(cnt=cntt) thencnt:=(others=>'0');fclkk<= not fclkk;elsecnt:=cnt+1;end if;end if;end if;fclk<=fclkk;end process;end behave;e;⑥波形选择:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity wav_sel isport(keya,keyb: in std_logic;d_in0,d_in1,d_in2,d_in3: in std_logic_vector(7 downto 0); d_out: out std_logic_vector(7 downto 0));end wav_sel;architecture behave of wav_sel issignal key: std_logic_vector(1 downto 0);beginkey<=keya&keyb;with key selectd_out<=d_in0 when "00",d_in1 when "01",d_in2 when "10",d_in3 when "11","00000000" when others;end behave;⑦顶层设计文件(原理图):三、实验现象(使用Signal Tap II):正弦波:方波:锯齿波:三角波:四、实验数据:时钟输入为50MHz:波形参数方波最小频率:最大频率:锯齿最小频率:最大频率:三角最小频率:最大频率:正弦最小频率:最大频率:五、芯片资源占用情况:。

基于FPGA的函数信号发生器设计

基于FPGA的函数信号发生器设计

基于FPGA的函数信号发生器设计函数信号发生器是一种能够产生不同类型信号的测试设备,通常在电子电路实验中使用。

基于FPGA的函数信号发生器设计利用可编程逻辑器件FPGA,可以实现更高的灵活性和可定制性,同时减少了硬件开发成本。

本文将详细介绍基于FPGA的函数信号发生器的设计原理、主要模块和实现方法。

一、设计原理二、主要模块1.时钟生成器模块:时钟信号是产生各种信号波形的基础,因此需要设计一个时钟生成器模块来产生稳定的时钟信号。

可以使用FPGA内部的锁相环(PLL)或计数器来实现。

2.波形选择模块:为了产生不同类型的信号波形,需要设计一个波形选择模块。

通过该模块,用户可以选择所需的信号波形,如正弦波、方波、三角波等。

3. 波形生成模块:根据用户的选择,使用FPGA内部的逻辑门电路来实现不同类型的信号波形的生成。

可以利用查找表(Look-Up Table,简称LUT)来存储不同波形的采样点数据,并通过控制逻辑将这些数据输出为相应的信号波形。

4.频率控制模块:通过频率控制模块,可以对信号波形的频率进行控制。

可以根据用户的输入,通过改变时钟信号的频率或改变波形采样点的间隔来实现频率的调节。

5.幅值控制模块:通过幅值控制模块,可以对信号波形的幅值进行控制。

可以通过改变逻辑门的阈值电压或者改变DAC(数字模拟转换器)的输出电平来实现幅值的调节。

三、实现方法2.硬件设计:根据设计需求,选择合适的FPGA芯片、外部时钟源、AD/DA转换器等外部器件。

根据电路原理图,进行相应的电路布局和连线。

在确认电路无误后,进行焊接和组装工作。

在软件和硬件设计完成后,可以通过控制板上的按钮、旋钮等输入设备来调节信号波形的频率、幅值等参数,从而实现不同类型的信号波形的生成。

总结:基于FPGA的函数信号发生器设计利用FPGA的可编程特性,可以实现信号波形的灵活生成和控制。

通过设计合适的模块,可以产生多种类型的信号波形,并对其频率、幅值等参数进行调节。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录一、设计要求 (3)1. 基本要求 (3)二、设计方案 (3)三、系统基本原理 (4)3.1函数信号发生器的几种实现方式 (4)3.1.1程序控制输出方式 (4)3.1.2 DMA输出方式 (5)3.1.3可变时钟计数器寻址方式 (5)3.1.4直接数字频率合成方式 (6)3.2频率合成器简介 (6)3.2.1频率合成技术概述 (6)3.2.2频率合成器主要指标 (7)2.3 DDS原理 (8)3.3.1相位累加器 (8)3.3.2波形ROM (10)3.3.3 DDS频率合成器优缺点 (10)四、单元模块设计 (11)4.1系统框图 (11)4.2相位累加器与相位寄存器的设计 (12)4.3波形ROM的设计 (13)4.4频率控制模块的设计 (16)4.5 D/A转换器 (17)4.6滤波模块 (19)五、系统源程序 (19)5.1 Verilog HDL 源程序: (19)5.2 STM32 源程序: (23)摘要直接数字频率合成DDS(Direct Digital Synthesizer)是基于奈奎斯特抽样定理理论和现代器件生产技术发展的一种新的频率合成技术。

与第二代基于锁相环频率合成技术相比,DDS具有频率切换时间短、频率分辨率高、相位可连续变化和输出波形灵活等优点,因此,广泛应用于教学科研、通信、雷达、自动控制和电子测量等领域。

该技术的常用方法是利用性能优良的DDS专用器件,“搭积木”式设计电路,这种“搭积木”式设计电路方法虽然直观,但DDS专用器件价格较贵,输出波形单一,使用受到一定限制,特别不适合于输出波形多样化的应用场合。

随着高速可编程逻辑器件FPGA的发展,电子工程师可根据实际需求,在单一FPGA上开发出性能优良的具有任意波形的DDS系统,极大限度地简化设计过程并提高效率。

本文在讨论DDS的基础上,介绍利用FPGA设计的基于DDS 的信号发生器。

关键字:FPGA;DDS;函数信号发生器;一、设计要求1. 基本要求(1)信号发生器能产生正弦波、方波和三角波三种周期性波形;(2)将输出信号频率范围为10Hz ~10MHz ,输出信号频率可分段调节:在10Hz ~100kHz 范围内步进间隔为10Hz ;在100kHz ~1MHz范围内步进间隔为100Hz ;在1MHz ~10MHz 范围内步进间隔为1kHz 。

输出信号频率值可通过键盘进行设置;(3)在50Ω负载条件下,输出正弦波信号的电压峰—峰值Vopp在0~5V 范围内可调,调节步进间隔为0.1V ,输出信号的电压值可通过键盘进行设置;(4)输出信号波形无明显失真;(5)自制稳压电源。

(6)可利用ARM 单片机实时显示输出信号的类型、幅度、频率和频率步进值;二、设计方案【方案一】 采用分立元件模拟直接合成法。

这种方法转换速度快,频率分辨率高,但其转换量程靠手动来实现,不仅体积大难以集成,而且可靠性和准确度很难进一步提高。

【方案二】 采用MAX038芯片来产生正弦波信号。

该集成块的输出波形种类多,频率覆盖范围广。

它采用的是RC 充放电振荡结构。

第一,由于模拟器件元件分散性太大,外接的电阻、电容对参数的影响很大,因而产生的频率稳定度差,只能达到431010---。

第二,它的频率控制是通过充放电流的大小来实现。

因而要达到步进100HZ ,所需的电流变化量非常小,精度要求很高。

所以采用MAX038芯片难以实现设计要求。

【方案三】采用锁相环合成方法。

采用该方案设计输出信号的频率可达到超高频甚至微波段,且输出信号频谱纯度较高。

由于锁相环技术是一个不间断的负反馈控制过程,所以该系统输出的正弦信号频率可以维持在一个稳定状态,频率稳定度高。

但由于它是采取闭环控制的,系统的输出频率改变后,重新达到稳定的时间也比较长。

所以锁相环频率合成器要想同时得到较高的频率分辨率和转换率非常困难,频率转换一般要几毫秒的时间[1],同时频率间隔也不可能做得很小。

【方案四】采用直接数字合成器(DDS),可用硬件或软件实现。

即用累加器按频率要求对相应的相位增量进行累加,再以累加相位值作为地址码,取存放于ROM中的波形数据,经D/A转换,滤波即得到所需波形。

以EDA技术为基础,用FPGA实现DDS模型的设计。

电路的规模大小和总线宽度可以由设计者根据自己的需要而设定可将波形数据存入FPGA的ROM中。

同时外部控制逻辑单元也可在FPGA中实现。

方法简单,易于程控,便于集成。

用该方法设计产生的信号频率范围广,频率稳定度高,精度高,频率转换速度快。

分析以上四种方案,显然第四种方案具有更大的优越性、灵活性。

所以采用方案四进行设计。

三、系统基本原理3.1函数信号发生器的几种实现方式任意波形发生器的实现方案主要有程序控制输出、DMA输出、可变时钟计数器寻址和直接数字频率合成等多种方式。

3.1.1程序控制输出方式计算机根据波形的函数表达式,计算出一系列波形数据瞬时值,并定时地逐个传送给D/A转换器,合成出所需要的波形。

这种方式具有电路简单、实现方便等特点。

但数据输出定时不准确,会影响信号的频率和相位。

波形数据输出依靠指令的执行来完成,当需要同时输出多个信号时,相邻信号通道的输出存在时间差,受计算机运行速度的限制,输出信号的频率较低。

3.1.2 DMA输出方式DMA(direct memory aecess)方式输出不依赖于程序的执行,由DMA控制器申请总线控制权,通过地址总线给出存储器的地址信号,同时选通存储器和D/A转换器,在两者之间建立直接的数据通道,使存储器相应单元中的波形数据传送给D/A转换器转换后输出信号。

DMA方式输出信号,可以大大提高信号的数据输出速率。

但也存在一些问题,如波形输出期间,微处理器因为失去了总线控制权,无法进行其他操作。

在一个DMA操作中,只能在一个D/A转换器和存储器之间传送数据,无法实现多通道的信号输出。

3.1.3可变时钟计数器寻址方式采用可变时钟计数器寻址波形存储器表,该方法是一种传统型任意波形发生器。

原理框图如图2-1所示。

图2-1可变时钟计数器寻址的任意波形发生器图中的计数器实际上是一个地址发生器,计数器的触发时钟脉冲由一个频率可以控制的频率发生器产生,通过改变频率发生器的频率设置值,实现调整计数器产生的地址变化速率,从而改变输出的任意波形的频率。

计数器产生的地址码提供读出存储器中波形数据所需要的地址信号,波形数据依次读出后送至高速D/A转换器,将之转变为模拟量,经低通滤波器后输出所需的波形。

可见传统的任意波形发生器采用可变时钟和计数器寻址波形存储器表,此方法的优点是产生的地址连续,输出波形质量高。

但其取样时频率较高,对硬件的要求也较高,而且常需多级分频或采用高性能的锁相环,其中分频式的任意波形发生器频率分辨率低,锁相式的任意波形发生器频率切换速度慢。

3.1.4直接数字频率合成方式DDS(direct digital synthesizer)是在一组存储器单元中按照信号波形数据点的输出次序存储了将要输出波形的数据,在控制电路的协调控制下,以一定的速率,周而复始地将波形数据依次发送给D/A转换器转换成相应的模拟信号。

由于用硬件电路取代了计算机的控制,信号输出稳定度高。

如需更新输出信号,不必改动任何线路和元器件,只需改写存储器中的波形数据即可。

更主要的是,可以将微处理器从信号输出的负担中解脱出来。

如图2-2为其工作流程图。

图2-2直接数字频率合成方式的任意波形发生器基于对函数信号发生器的几种实现方式的了解,本文选择方便调频、调幅的直接频率合成DDS技术来实现函数信号发生器。

3.2频率合成器简介3.2.1频率合成技术概述频率合成器是现代电子系统的重要组成部分,它作为电子系统的“心脏”,在通信、雷达、电子对抗、导航、仪器仪表等许多领域中得到广泛的应用。

频率合成理论早在30年代就开始提出,迄今为止已有70年的发展历史。

所谓的频率合成就是将一个高精度和高稳定度的标准参考频率,经过混频、倍频与分频等对它进行加、减、乘、除的四则运算,最终产生大量的具有同样精确度和稳定度的频率源。

频率合成大致经历了三个主要阶段:直接频率合成、采用锁相技术的间接频率合成、直接数字频率合成。

早期的频率合成方法称为直接频率合成。

它利用混频器、倍频器、分频器与带通滤波器来完成四则运算。

直接频率合成能实现快速频率变换、几乎任意高的频率分辨力、低相位噪声及很高的输出频率。

缺点是直接合成由于使用了大量硬件设备如混频器、倍频器、分频器、带通滤波器等,因而体积大、造价高。

此外寄生输出大,这是由于带通滤波器无法将混频器产生的无用频率分量滤尽。

而且频率范围越宽,寄生分量也就越多。

而这些足以抵消其所有优点。

直接频率合成技术的固有缺点在间接频率合成技术中得到了很好的改善。

间接频率合成又称锁相频率合成,采用锁相环路(PLL)技术对频率进行四则运算,产生所需频率。

锁相环路(PLL)是一个能够跟踪输入信号相位的闭环自动控制系统。

早在1932年DeBellescize 提出的同步检波理论中首次公布发表了对锁相环路的描述。

但是由于其复杂的技术原理直到1947年锁相环路才第一次用于电视接收机水平和垂直的同步扫描。

它的跟踪性能及低噪声性能得到人们的重视得到迅速发展。

它在无线电技术的各个领域得到了很广泛的应用。

但是锁相频率合成器也存在一些问题,以致难于满足合成器多方面的性能要求,主要表现在高频率分辨率与快速转换频率之间的矛盾。

直接数字频率合成即DDS,它是目前最新的产生频率源的频率合成技术。

这种技术是用数字计算机和数模变换器来产生信号完成直接数字频率合成的办法,其是目前最新的产生频率源的频率合成技术。

这种技术是用数字计算机和数模变换器来产生信号,完成直接数字频率合成的办法或者是用计算机求解一个数字递推关系式,或者是查阅表格上所存储的波形值。

目前用的最多的是查表法。

这种合成技术具有相对带宽很宽,频率切换时间短(ns级),分辨率高(uHz),相位变化连续,低相位噪声和低漂移,数字调制功能,可编程及数字化易于集成,易于调整等一系列性能指标远远超过了传统频率合成技术所能达到的水平,为各种电子系统提供了优于模拟信号源性能的高质量的频率源。

目前它正朝着系统化,小型化、模块化和工程化的方向发展,性能越来越好,使用越来越方便,是目前应用最广泛的频率合成器之一。

3.2.2频率合成器主要指标信号源的一个重要指标就是能输出频率准确可调的所需信号。

一般传统的信号发生器采用谐振法,即用具有频率选择性的正反馈回路来产生正弦振荡,获得所需频率信号,但难以产生大量的具有同一稳定度和准确度的不同频率。

利用频率合成技术制成的信号发生器,通常被称为频率合成器。

频率合成器既要产生所需要的频率,又要获得纯净的信号。

相关文档
最新文档