表决器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录

标题 (4)

中文摘要 (4)

前言 (4)

一、设计与制作的主要内容 (4)

二、设计任务及设计要求 (4)

三、所用器材 (5)

3.1 74LS283简介 (5)

3.1.1 74LS283管脚的简要说明 (5)

3.2 CC4511简介 (5)

3.2.1 CC4511的管脚图 (5)

3.3 七段数码管指示图 (6)

四、实验原理及设计思想 (6)

4.1方案一: (6)

4.1.1原理方框图 (6)

4.1.2操作流程图 (6)

4.1.3内容简述 (7)

4.1.4电路原理图 (8)

4.2方案二: (8)

4.2.1 内容简介 (8)

4.2.2真值表 (8)

4.2.3电路说明 (8)

4.3两方案比较 (9)

五、Multisim软件仿真 (9)

5.1仿真设计 (9)

5.2设计VHDL源程序 (10)

六、设计结果及心得体会 (12)

参考文献 (13)

致谢 (14)

外文页 (15)

表决器的设计与制作

摘要本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。在七人表决器中七个人分别用手指拨动开关SW1、SW2、SW3、SW4、SW5、SW6、SW7来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。

关键词表决器设计制作

前言

表决器(votingmachine),是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”、“反对”、“弃权”的某一按钮,荧光屏上即显示出表决结果。目前,表决器可分为有线表决器和无线表决器两大类,其中有线表决器已经退出此项市场,而无线投票表决器已成为市场主流。通过对表决器的设计和对其基本结构的分析了解和对其操作流程的熟悉,可以更清楚地认识和运用它。

一、设计与制作的主要内容

按照设计题目,根据所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图;然后采用MAX+plusⅡ的原理图输入设计法进行设计。

二、设计任务及设计要求

1.用7个开关模拟7个人投票,“1”表示赞成,“0”表示反对。有4票以上赞成,决议通过。

2.电路可以自动统计出票数,并在数码管上显示出来。

3.用一个发光二极管表示决议是否通过。

三、所用器材

74LS283两片 CC4511一片数码管一个

开关七个发光二极管一个导线若干

芯片引脚如下

3.1 74LS283简介:

74LS283的管脚图如下所示:

3.1.1 74LS283管脚的简要说明:

74LS283 可进行两个4 位二进制数的加法运算,每位有和输出Σ1~Σ4,进位由第四位得到C4引出端符号

A1–A4 运算输入端

B1–B4 运算输入端

C0 进位输入端

Σ1–Σ4 和输出端

C4 进位输出端

3.2 CC4511简介

3.2.1 CC4511的管脚图

CC4511的管脚图如下所示:

CC4511的管脚说明如下

CC4511是双列16脚封装,BCD-7段锁存或译码器。它将输入BCD 标准代码变成驱动7段数码管所需的码信号。它又称四线—七段锁存译码器,其中四线A-D 为BCD 码输入端,高电平有效,A 为低位输入端,D 为高位端,七段a-g 输出高电平以驱动共阴极数码管发光。LE 为锁存控制端,高电平时能锁存输入的BCD 码。LT 为灯测试反向控制端,BI 为消隐反向控制端。 3.3、 七段数码管指示图

七段数码管指示图的结构示意图如下所示

使用一个七段数码管用以显示表决结果,通过显示P ,否则显示E ;另外使用两个数码管用以分别显示同意与不同意的人数,

四、实验原理及设计思想:

4.1方案一: 4.1.1原理方框图:

4.1.2操作流程图如下

脉冲电路 计数器

T 触发器 结果显示

数值比较器

七段显示译码器

4.1.3 内容简述:

表决器分为三个:“表决数据的输入部分、数据控制处理部分、结果的输出部分”。通过这三大部分构成了整个表决器,表决人员表决结果:“同意、否决”两个不同的数据用三个按钮来分别输入,经过总线送入数据控制处理部分电路中,送入的数据经过计数器统计处理后,再通过数据比较器进行比较,将结果反映在数码管上输出。

4.1.4电路原理图:

4.2方案二:

4.2.1 内容简介:

用全加器(74LS283)来统计投票的人数,由于最多有7人投票,当有4人以上投票时,加法器的最终输出结果的次高位为高电平,于是可以给次高位连接一个发光二极管用来表示决议是否通过。加法器的输出结果再通过常用的CMOS七段显示译码器74HC4511来实现结果的编译,通过LED数码管显示投票的人数。

4.2.2真值表:

A B C D E F G Y

0 0 0 0 0 0 0 0

0 0 0 0 0 0 1 0

0 0 0 0 0 1 0 0

0 0 0 0 0 1 1 1

1 1 1 1 1 0 0 1

1 1 1 1 1 0 1 1

1 1 1 1 1 1 0 1

1 1 1 1 1 1 1 1

1 1 1 0 0 0 0 0

其它值省略

4.2.3电路说明

相关文档
最新文档