数字电路实验报告——24进制计数器逻辑功能及其应用

合集下载

二十四进制计数器实验报告

二十四进制计数器实验报告

二十四进制计数器实验报告实验成员:88155010 李仲哲88155037 周梓成硬件:DE2-70驱动板实验要求:使用Verilog硬件描述语言设计一个基本时序逻辑电路1位24进制计数器,并可控制加减。

并利用驱动板自带晶振。

实验步骤:首先创建新工程,编写Verilog HDL语言设计代码。

代码如下:module qwe(iclk,rst_n,flag,q,overflow);input iclk,flag; --------------------------------输入端口声明。

input rst_n;--------------------------------------输出端口声明。

output reg [4:0] q; ---------------------------- 分配输出信号灯q0,q1,q2,q3,q4。

integer i;output overflow;wire clk_1Hz;Divider50MHz (1'b1,iclk,i1hz); -----------------引用分频器代码Divider50MHz.v中的变量i1hz。

always @(posedge i1hz or negedge rst_n)beginif(~rst_n) q <= 5'h0;elsebeginif(flag) i = 1; ----------------------------------当变量为1时计数器加。

else i = -1; ---------------------------------反之计数器减。

if(5'h17 == q) q <= 5'h0;else q <= q + i;endendassign overflow = 5'h17 == q;其中flag为控制加减开关变量,我们为其分配开关SW0引脚为PIN_AA23。

数字电路实验报告——24进制计数器逻辑功能及其应用

数字电路实验报告——24进制计数器逻辑功能及其应用

24进制计数器逻辑功能及其应用一、实验目的:1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。

2. 掌握构成计数器的方法。

二、实验设备及器件:1. 数字逻辑电路实验板1片2. 74HC90同步加法二进制计数器2片3. 74HC00二输入四与非门1片4. 74HC04 非门1片三、实验原理:计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。

按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。

根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。

根据计数的增减趋势,又分为加法、减法和可逆计数器。

还有可预置数和可编程序功能计数器等等。

目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。

使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。

四、实验内容实验电路图:用74HC00与非门和74HC04的非门串联,构成与门。

74HC00的引脚图和真值表如图:74HC04的引脚图与真值表如图:按实验电路图,参照各个芯片的引脚图和真值表,连接电路。

其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。

实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。

五、实验心得:本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。

24进制计数器设计报告

24进制计数器设计报告

..1. 设计任务1.1设计目的1. 了解计数器的组成及工作原理。

2. 进一步掌握计数器的设计方法和计数器相互级联的方法。

3. 进一步掌握各芯片的逻辑功能及使用方法。

4. 进一步掌握数字系统的制作和布线方法。

5. 熟悉集成电路的引脚安排。

1.2 设计指标1. 以24为一个周期,且具有自动清零功能。

2. 能显示当前计数状态。

1.3 设计要求1. 画出总体设计框图,以说明计数器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向。

并以文字对原理作辅助说明。

2. 设计各个功能模块的电路图,加上原理说明。

3. 选择合适的元器件,利用multisim 仿真软件验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在确定电路充分正确性同时,输入信号和输出方式要便于电路的测试和故障排除。

4. 在验证各个功能模块基础上,对整个电路的元器件和布线进行合理布局。

5.打印PCB 板,腐蚀,钻孔,插元器件,焊接再就对整个计数器电路进行调试。

2.设计思路与总体框图.计数器由计数器、译码器、显示器三部分电路组成,再由555定时器组成的多谐振荡器来产生方波,充当计数脉冲来作为计数器的时钟信号,计数结果通过译码器显示。

图1所示为计数器的一般结构框图。

2CRCR CR▲图 1 计数器结构框图3.系统硬件电路的设计3.1 555多谐荡电路555多谐振荡电路由NE555P 芯片、电阻和电容组成。

由NE555P 的3脚输 出方波。

▲图 2 555电路计数脉冲(由555电路产生)异步清零计数器个位位数码示像译码驱动CP CP强制清零3.2 计数器电路集成计数芯片一般都设置有清零输入端和置数输入端,而且无论是清零还是置数都有同步和异步之分。

有的集成计数器采用同步方式,即当CP触发沿到来时才能完成清零或置数任务;有的集成计数器则采用异步方式,即通过触发器的异步输入端来直接实现清零或置数,与CP信号无关。

74ls90实现24进制计数器心得体会

74ls90实现24进制计数器心得体会

74ls90实现24进制计数器心得体会用两片74LS90芯片,一片控制个位,为十进制;另一片控制十位,为六进制。

利用74LS90本身的两控制端(见摘要关于74LS90的注解)完成十进制,在达到1001(即十进制的九)时,给第二个芯片一个脉冲,这样反复,直到第二片达到0110时第二片自身清零,这样完成一次60的计数,且回到初态,两片74LS90全部清零,继续重复计数。

(见图3)时计数器具体设计方案为:用两片74LS90芯片,一片控制个位,为十进制;另一片控制十位,为二进制。

利用74LS90本身的两控制端(见摘要关于74LS90的注解)完成十进制,在达到1001(即十进制的九)时,给第二个芯片一个脉冲,这样反复,直到第二片达到0010(即十进制的二)且第一片达到0100(即十进制的四)时第一片和第二片同时清零,这样完成一次24的计数,且回到初态,继续重复计数。

(见图4)(3)译码输出显示单元电路为了将计数器输出的8421BCD 码显示出来,需用译码输出显示电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑,我们采用较熟悉的七段译码显示电路。

本设计可选器件74LS47为译码电路。

EDA 24进制计数器的设计

EDA 24进制计数器的设计

《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验1:24进制计数器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

2、要求具备使能功能和异步清零功能。

3、设计完成后生成一个元件,以供更高层次的设计调用。

4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。

三、实验程序(原理图)四、仿真及结果分析在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,设计一个24进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步24进制,则个位接成0011,十位接成0010,再用一个四输入(一段接一个使能信号EN)的与非门接到两片74160计数器上的置数端LDN。

把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的24进制。

然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。

五、硬件验证1、选择模式:模式72、引脚锁定情况表:六、小结经过这次的实验工作,让我知道了许多的东西,也对QuartusII这个软件的一个初步认识及应用,也让我了解了许多在书本上所学不到的知识和技能,这为我们在以后的工作起了非常重要的作用。

数字电路数字时钟课程实验报告

数字电路数字时钟课程实验报告

数字时钟设计实验报告一、设计要求:设计一个24小时制的数字时钟。

要求:计时、显示精度到秒;有校时功能。

采用中小规模集成电路设计。

发挥:增加闹钟功能。

二、设计方案:由秒时钟信号发生器、计时电路和校时电路构成电路。

秒时钟信号发生器可由振荡器和分频器构成。

计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。

校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。

三、电路框图:图一数字时钟电路框图四、电路原理图:(一)秒脉冲信号发生器秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。

由振荡器与分频器组合产生秒脉冲信号。

振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz脉冲。

分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。

其电路图如下:译码器译码器译码器时计数器分计数器秒计数器校时电路秒信号发生器图二秒脉冲信号发生器(二)秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。

60进制——秒计数器秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。

当计数到59时清零并重新开始计数。

秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。

个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。

利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。

其电路图如下:图三 60进制--秒计数电路60进制——分计数电路分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。

数电实验报告:计数器及其应用

数电实验报告:计数器及其应用

数电实验报告:计数器及其应用数字电子技术实验报告实验四:计数器及其应用一、实验目的:1、熟悉常用中规模计数器的逻辑功能。

2、掌握二进制计数器和十进制计数器的工作原理和使用方法。

二、实验设备:1、数字电路实验箱;2、74LS90。

三、实验原理:1、计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时具有分频功能。

计数器按计数进制分有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。

2、74LS90是一块二-五-十进制异步计数器,外形为双列直插,NC表示空脚,不接线,它由四个主从JK触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。

在74LS90计数器电路中,设有专用置“0”端R0(1),R0(2)和置“9”端S9(1)S9(2)。

其中前两个为异步清0端,后两个为异步置9端。

CP1, CP2为两个时钟输入端;Q0 ~Q3为计数输出端。

当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;从CP2引入,Q3输出为五进制。

时钟从CP1引入,二Q0接CP1,则Q3Q2Q1Q0输出为十进制(8421码);时钟从CP2引入,而Q3接CP1,则Q0Q3Q2Q1输出为十进制(5421码)。

四、实验原理图及实验结果:1、实现0~9十进制计数。

1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)2)实验结果:解码器上依次显示0~9十个数字。

2、实现六进制计数。

1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)2)实验结果:解码器上依次显示0~5六个数字。

3、实现0、2、4、6、8、1、3、5、7、9计数。

1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)2)实验结果:解码器上依次显示0、2、4、6、8、1、3、5、7、9十个数字。

数字逻辑电路实验报告

数字逻辑电路实验报告

数字逻辑电路实验报告数字逻辑电路实验报告引言:数字逻辑电路是现代电子科技中的重要组成部分,它广泛应用于计算机、通信、控制系统等领域。

本实验旨在通过实际操作,加深对数字逻辑电路原理的理解,并通过实验结果验证其正确性和可靠性。

实验一:基本逻辑门的实验在本实验中,我们首先学习了数字逻辑电路的基本组成部分——逻辑门。

逻辑门是数字电路的基本构建单元,它能够根据输入信号的逻辑关系,产生相应的输出信号。

我们通过实验验证了与门、或门、非门、异或门的工作原理和真值表。

以与门为例,当且仅当所有输入信号都为高电平时,与门的输出信号才为高电平。

实验中,我们通过连接开关和LED灯,观察了与门的输出变化。

实验结果与预期相符,验证了与门的正确性。

实验二:多位加法器的设计与实验在本实验中,我们学习了多位加法器的设计和实现。

多位加法器是一种能够对多位二进制数进行加法运算的数字逻辑电路。

我们通过实验设计了一个4位全加器,它能够对两个4位二进制数进行相加,并给出正确的进位和和结果。

实验中,我们使用逻辑门和触发器等元件,按照电路图进行布线和连接。

通过输入不同的二进制数,观察了加法器的输出结果。

实验结果表明,多位加法器能够正确地进行二进制数相加,验证了其可靠性。

实验三:时序电路的实验在本实验中,我们学习了时序电路的设计和实验。

时序电路是一种能够根据输入信号的时间顺序产生相应输出信号的数字逻辑电路。

我们通过实验设计了一个简单的时序电路,它能够产生一个周期性的脉冲信号。

实验中,我们使用计数器和触发器等元件,按照电路图进行布线和连接。

通过改变计数器的计数值,观察了脉冲信号的频率和周期。

实验结果表明,时序电路能够按照设计要求产生周期性的脉冲信号,验证了其正确性。

实验四:存储器的设计与实验在本实验中,我们学习了存储器的设计和实现。

存储器是一种能够存储和读取数据的数字逻辑电路,它在计算机系统中起到重要的作用。

我们通过实验设计了一个简单的存储器,它能够存储和读取一个4位二进制数。

广工quartus 24进制计数器

广工quartus 24进制计数器

数电实验报告实验名称可编程逻辑器件制作任意进制计数器学院自动化学院年级班别学号学生姓名指导教师年月日用可编程逻辑器件设计计数器任意进制计数器一、实验目标1)掌握中规模集成计数器的逻辑功能,以及用中规模集成技术器构成任意进制计数器的方法2)熟悉译码器和数据显示器的使用方法3)了解数字可编程器件实现的集成计数、译码电路功能二、实验方案+步骤用中规模集成计数器(74LS160)设计一个二十四进制计数器,并与译码、显示电路连接起来。

⑴ 设计总框架:⑵ 设计总原理图如下:⑶ 分步分析:①分频器模块:分频器 计数器 B C D 七段字符显示译码器 数码管50MHz 2Hz BCD 码 译码输出本实验采用DEII 板进行验证,DEII 板上有两个内置的频率源,它们的振荡频率分别是50MHz 与27MHz 。

但是这样的频率对于我们时序电路的应用而言,显然太高了。

为此我们在内置频率源后应加一个分频器(74LS292),以得到我们需要的比较适中的频率(比如1~2Hz )DE2上有内置的50MHz 时钟CLOCK_50EDCBA = (11001 )2= (25)10②计数器模块本实验采用两片10进制计数器74LS160芯片来进行24进制计数器的设计。

③显示模块由实验板的数码管是共阳性,所以采用7446译码器来驱动。

三、时序仿真①计数器模块24个脉冲输出一个进位脉冲,即代表24进制。

②显示模块Hz MHz CLK f Q 6.1250212525≈==四、实验验证实验板上的两个数码管循环显示数字从0-23,即实现24进制电路的设计。

五、实验心得本实验主要需要先想好要用什么芯片来设计24进制电路,记忆最后需要用什么译码器来显示结果。

24进制的电路设计原理可以推广到其他任意进制的设计。

基于FPGA的二十四进制计数器

基于FPGA的二十四进制计数器

实验名称:二十四进制计数器二十四进制计数器实验步骤或程序:十进制计数器程序:library ieee;use ieee.std_logic_1164.all;entity count10 isport(ep,et,clk,nld,nrd: in std_logic;d: in std_logic_vector(3 downto 0);q:buffer std_logic_vector(3 downto 0);c:buffer std_logic );end count10;architecture rtl of count10 isbeginprocess(ep,et,clk,nld,nrd,d)beginif ep='1' and et='1' thenif nrd='0' thenq<="0000";c<='0';else if clk'event and clk='1' thenif nld='0' thenq<=d;elsecase q iswhen"0000"=>q<="0001";c<='0'; when"0001"=>q<="0010";c<='0'; when"0010"=>q<="0011";c<='0'; when"0011"=>q<="0100";c<='0'; when"0100"=>q<="0101";c<='0'; when"0101"=>q<="0110";c<='0'; when"0110"=>q<="0111";c<='0'; when"0111"=>q<="1000";c<='0'; when"1000"=>q<="1001";c<='1'; when others=>q<="0000"; c<='0'; end case;end if;else q<=q;c<=c;end if;end if;else q<=q;c<=c;end if;end process;end rtl;管脚设置:二十四进制计数器:程序:library ieee;use ieee.std_logic_1164.all;entity count24 isport(CLK:in std_logic;Q1,Q0:buffer std_logic_vector(3 downto 0);C:buffer std_logic);end count24;architecture rtl of count24 issignal S1,S2,S3,S4:std_logic;component count10port(EP,ET, CLK,nLd,nRd:in std_logic;C:buffer std_logic;D:in std_logic_vector(3 downto 0):Q:buffer std_logic_vector(3 downto 0));end component;beginA1:count10 port map('1','1',CLK,S1,'1',"0000",S3,Q0);A0:count10 port map(S4,S4,CLK,S1,'1',S2,"0000",Q1);S1<=NOT(Q0(1) AND Q0(0) AND Q1(1));C<= not S1;S4<=S3 OR C;end rtl;管脚设置:。

(数电课设)二十四进制计数器

(数电课设)二十四进制计数器

一.课程设计目的《电子技术基础2-2课程设计》是学习理论课程之后的实践教学环节。

目的是通过解决比较简单的实际问题巩固和加深在《电子技术基础2-2(数字电子技术基础)》课程中所学的理论知识和实验技能。

训练学生综合运用学过的电子技术基础知识,在教师指导下完成查找资料,选择、论证方案,设计电路,安装调试,分析结果,撰写报告等工作。

使学生初步掌握数字电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问题的能力和创新能力,为后续课程的学习、毕业设计和毕业后的工作打下一定的基础。

数字电子课程设计是理论教学之后的一个综合性实践教学环节,是对课程理论和课程实验的综合和补充。

学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。

不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的内容。

理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才是真正的知识,才能提高自己的实际动手能力和独立思考的能力。

在设计的过程遇到各种各样的问题,同时在设计的过程中发现自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计,把以前所学过的知识重新温故,巩固所学的知识。

二.设计方案论证1.设计方案的选择通过查阅资料对不同的设计方案进行比较论证,根据现有条件选择合适的设计方案,Multisim有其丰富的仿真分析能力以及完整的电路原理图图形输入和电路硬件描述语言输入方式,结合了直观的捕捉和功能强大的仿真特点,能快速、轻松、高效地对电路进行设计和验证。

引入Multisim软件帮助我们快速且轻松地将刚学到的理论知识用计算机仿针真实地再现出来。

数字逻辑电路设计课程设计实验报告

数字逻辑电路设计课程设计实验报告

数字逻辑电路设计课程设计——多功能数字钟学校专业班级姓名学号数字系统综合设计——多功能数字钟实验目的1.学会将VHDL程序生成为自己的逻辑器件;2.学会应用数字系统方法进行电路设计;3.能够更加熟练得运用VHDL语言来编写、开发自己的数字电路;4.进一步掌握Quartus Ⅱ软件的用法;5.理解和实践编写较大型逻辑电路的步骤和方法,深入理解层次化设计方法;6.培养综合实验能力。

设计目的1.拥有正常的时、分、秒及时功能。

2.能利用实验板上的按键实现校时、校分及秒清零。

3.能利用实验板上的扬声器做整点报时。

4.闹钟功能。

5.在MAXPLUS Ⅱ中采用层次化设计方法进行设计。

6.完成全部电路设计后在实验板上下载,验证设计课题的正确性。

设计方案根据图1-1的总体设计框图,可以将整个系统分为6个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。

图1-1 多功能数字钟总体设计框图11.计时模块该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。

二十四进制计数器用于计时,六十进制计数器用于计分和计秒。

只要给秒计数器一个1Hz的时钟脉冲,则可以进行正常计时。

分计数器以秒计数器的进位作为计数脉冲,小时计数器以分计数器的进位作为计数脉冲。

2.校时模块校时模块设计要求实现校时、校分以及秒清零功能。

✧按下校时键,小时计数器迅速递增以调至所需要的小时位。

✧按下校分键,分计数器迅速递增以调至所需要的分位。

✧按下清零键,将秒计数器清零。

在设计此模块时要注意屏蔽校分时分计数器的进位信号,以防止小时计数器计数;利用D触发器消除校时校分是的按键抖动;计时采用1Hz的脉冲驱动计数器计数,而校对时间时应选用相对高频率的信号驱动计数器以达到快速校对时间的目的。

3.整点报时模块该模块的功能要求是:计时到59分50秒时,每两秒一次低音报时,整点进行高音报时,可以将报时信号接到试验板上的扬声器输出。

计数器及其应用实验总结

计数器及其应用实验总结

计数器及其应用实验总结计数器是一种常见的电子元件,用于计数和记录特定事件的次数。

在电子电路中,计数器通常由触发器和逻辑门组成,可以实现二进制计数和计数器的复位等功能。

在本次实验中,我们学习了计数器的基本原理和应用,并进行了相关实验。

首先,我们学习了计数器的基本原理。

计数器是由触发器组成的,触发器是一种存储器件,可以存储一个二进制位。

当触发器的输入发生变化时,输出也会相应地改变。

通过将多个触发器连接在一起,我们可以构建一个多位的计数器。

计数器的工作原理是通过触发器的状态变化来实现计数的功能。

在实验中,我们使用了74LS163型计数器芯片进行了实验。

该芯片是一个4位二进制同步计数器,可以实现二进制计数和计数器的复位功能。

我们通过连接适当的电路,将计数器与LED灯和开关相连,以便观察计数器的工作状态。

在实验过程中,我们首先进行了二进制计数实验。

通过连接计数器的输出引脚和LED灯,我们可以观察到计数器的计数过程。

当计数器的计数值增加时,LED灯的亮灭状态也会相应地改变。

通过这个实验,我们更加深入地理解了计数器的工作原理和二进制计数的特点。

接下来,我们进行了计数器的复位实验。

通过连接计数器的复位引脚和开关,我们可以实现计数器的复位功能。

当按下开关时,计数器的计数值会被清零,重新开始计数。

这个实验展示了计数器的复位功能,可以在需要重新计数的情况下使用。

除了基本的计数功能,计数器还可以应用于其他领域。

例如,在数字电子钟中,计数器可以用来计算时间,并驱动显示器显示时间。

在计算机中,计数器可以用来计算指令的执行次数,以及实现定时器和计时器等功能。

计数器的应用非常广泛,是电子领域中不可或缺的重要元件。

通过本次实验,我们对计数器的原理和应用有了更深入的了解。

计数器是一种常见的电子元件,可以实现二进制计数和计数器的复位等功能。

在实际应用中,计数器有着广泛的应用,可以用于计算时间、指令执行次数等。

通过学习和实验,我们对计数器的工作原理和应用有了更深入的认识,为我们今后的学习和应用打下了坚实的基础。

24进制计数(zk)

24进制计数(zk)
1. 信源选择: CH1未加信号,信号CH2,信源选择CH1
2. 触发电平:在信号变化范围外
14
屏幕提示触发信息
触发水平位置
触发 电平
触发电平 的数字值
触发信源
15
触发斜率
TRIGGER
与触发有关的操作
触发控制钮
LEVEL
1. LEVEL(电平) —— 改变触发电平值 正确操作:应使触发电平设在信号振幅范围内
18
1.
观测3个以上的波形,应该如何操作?
• 应将所有波形与频率最低的波形比较! • 建议将频率最低(周期最长)的信号始终保持在CH1中
具体操作: 选择频率最低的信号Q2 CH1显示 触发信源选择 CH1 其它信号CP、Q1分别送 CH2显示
错误的操作: ①观察CP和Q1 ②观
1
0
1
2
正确:信源=CH2
1 CP 0 Q1 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9
1
显示情况
2
20
3、观察计数器的波形时,触发斜率应选上升沿还 是下降沿?
加法计数器 应选下降沿触发 减法计数器 应选上升沿触发
1 CP 0 Q1 0 Q2 0 1 1 0 0 1 1 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9
2. MENU(菜单) —— 显示触发功能菜单
3. SET LEVEL TO 50%(设为50%) —— 将触发电平设在信号振幅范围的中点
16
TRIGGER
边沿触发功能菜单
触发类型 —— 一般选“边沿”触发
边沿 视频 斜率 上升
信源 CH1 触发方式 自动 耦合 直流
可选:上升沿触发、下降沿触发 内触发:CH1、CH2 外触发:EXT、EXT/5 交流线 —— 即50Hz工频信号 可选:自动、正常、单次触发 可选:直流、交流、噪音抑制、高频抑制、 低频抑制

24进制计数器原理

24进制计数器原理

24进制计数器原理一、概述24进制计数器是一种基于二进制计数器的扩展,可以用来计算更大的数字。

它采用了24个不同的数字,分别为0-9和A-Q,每个数字占据4个二进制位。

在实际应用中,24进制计数器常用于时间、频率等领域。

二、二进制计数器在介绍24进制计数器之前,我们先来了解一下二进制计数器。

二进制计数器是由若干个触发器组成的,每个触发器都能存储一个比特位(0或1),并且能够控制下一个触发器的状态。

当所有触发器的状态从000...0到111...1时,就完成了一次循环。

三、24进制表示法在24进制表示法中,每个数字占据4个二进制位。

这样做的好处是可以使用现有的逻辑门电路来实现24进制计数器。

四、实现原理1. 计数范围由于每个数字占据4个二进制位,因此一个4位的24进制数字可以表示$2^4=16$种不同的状态。

如果使用n个这样的数字组成一个n*4位的数字,则可以表示$24^{n}$种不同状态。

例如:使用3个4位的数字组成12位数字,则可以表示$24^{3}=13824$种不同状态。

2. 递增逻辑递增逻辑是指在当前状态下,如何将计数器的值加1。

对于24进制计数器,可以使用以下方法:(1) 将最低位数字加1。

如果最低位数字小于23,则直接加1;否则将最低位数字置为0,同时将第二低位数字加1。

(2) 如果第二低位数字也等于23,则继续向高位进位,直到某一位的数字小于23为止。

3. 递减逻辑递减逻辑是指在当前状态下,如何将计数器的值减1。

对于24进制计数器,可以使用以下方法:(1) 将最低位数字减1。

如果最低位数字大于0,则直接减1;否则将最低位数字置为23,同时将第二低位数字减1。

(2) 如果第二低位数字也等于0,则继续向高位借位,直到某一位的数字大于0为止。

五、应用场景24进制计数器常用于时间、频率等领域。

例如,在一个以秒为单位的定时器中,如果采用二进制计数器,则需要至少30个比特才能表示一个小时($2^{30}$秒)。

EDA 二十四进制计数器设计

EDA 二十四进制计数器设计

实训报告课程名称:学生姓名:学号:专业班级:年月日南昌大学实训报告学生姓名:学号:专业班级:设计□创新实训日期:实验成绩:实训类型:□验证□综合一、实训项目名称24进制计数器设计二、实训目的由中规模可预置二进制加法计数器40161和门电路组成二十四进制计数器(8421BCD码)三、实训要求1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。

四、实训基本原理(附源程序清单,原理图、RTL图)用两个ls161为主,其中一个为输出结果的低四位,另一个为输出结果的高4位,低四位从0000到1001(即十进制的九)然后置0000 并且高四位加1,如此到高四位为0010,第四位为0011,这时计数到23,进位信号输出1,同时8位输出同时置0;原理图:源程序:module ls161(Q,RCO,D,ET,EP,LOAD,CLR,CLK); output [3:0]Q;output RCO;input [3:0]D;input LOAD,ET,EP,CLR,CLK;reg [3:0]Q;wire EN;assign EN=ET&EP;always@(posedge CLK or negedge CLR)beginif(!CLR)Q=4'b0000;else if(!LOAD)Q=D;else if(EN)beginif(Q==15)Q=0;elseQ=Q+1;endendassign RCO=((Q==4'b1111)&EN)?1:0; endmodulemodule XS7D(DIN,DOUT);input [3:0] DIN;output [6:0] DOUT;reg [6:0]DOUT;always@(DIN)begincase(DIN)0:DOUT='b0111111;1:DOUT='b0000110;2:DOUT='b1011011;3:DOUT='b1001111;4:DOUT='b1100110;5:DOUT='b1101101;6:DOUT='b1111101;7:DOUT='b0000111;8:DOUT='b1111111;9:DOUT='b1101111;endcaseendendmodulemodule qzm(DOUTH,DOUTL,CO,CLK);output DOUTH,DOUTL,CO;input CLK;wire [3:0]QH;wire [3:0]QL;wire [3:0]D;wire [6:0]DOUTH;wire [6:0]DOUTL;wire CO,LOADL,LOADH,LOADR,ENR;wire VCC,GND;assign D=4'b0000,VCC=1,GND=0;ls161 u1(.Q(QL),.RCO(GND),.D(D),.ET(VCC),.EP(VCC),.LOAD(LOADH),.CL R(VCC),.CLK(CLK));ls161 u2 (.Q(QH),.RCO(GND),.D(D),.ET(ENR),.EP(ENR),.LOAD(LOADL),.CL R(VCC),.CLK(CLK));nand u3 (LOADR,QL[0],QL[3]);nand u4 (LOADL,QH[1],QL[1],QL[0]);not u5 (ENR,LOADR);not u6 (CO,LOADL);and u7 (LOADH,LOADR,LOADL);XS7D u8 (.DIN(QL),.DOUT(DOUTL));XS7D u9 (.DIN(QH),.DOUT(DOUTH));endmoduleRTL图:五、主要仪器设备、软件及耗材Quartus II EDA开发板六、调试过程及处理结果波形图;七、思考讨论题或体会或对改进实验的建议。

设计一个24进制计数器(时序逻辑电路设计实验 )

设计一个24进制计数器(时序逻辑电路设计实验 )
对于555定时器构成的多谐振荡电路所产生的脉冲的周期,依据公式周期 T=(R1+2R2)Cln2 可以求得,当C2为0.01uF,若C1取22uF,可计算出 R1+2R2=66 时可得到周期为1s,频率为1Hz的振荡信号,所以令R1=34 ,R2=16 。
二.整体清零法实现24进制计数器
1.设计过程:
2.所用器件:
器件型号
功能
数量
74LS161
4位二进制同步加法计数器
2片
74LS00
四2输入与非门
1片
74LS08
四2输入与门
1片
74LS10
三3输入与非门
1片
3.仿真实现过程:
(1)首先遇到的问题是级联问题,由于要实现24进制,所以需要2片74ls161芯片,所以就不可避免的遇到级联问题,解决该问题就需要将第2片74ls161芯片的进位信号与第1片74ls161芯片的EP端和ET端连接在一起,实现进位和级联。
阶段性考核之三:【平时成绩15分】
时序逻辑部分设计型实验报告
实验题目
设计一个24进制计数器
学生姓名
班 级
学 号
任课教师
实验成绩
完成时间
2015年07月20号
实验题目
设计一个24进制计数器
实验目的
本次实验要求学生设计一个24进制计数器电路。其目的在于:
1.使学生学会用555定时器自行产生时钟脉冲的设计方法;
2.使学生深入理解用已有集成计数器实现任意进制计数器的设计过程,并用数码管显示相应数字;
3.进一步锻炼学生的动手实践能力。
具体
实验
要求
选用4位二进制集成计数器74LS161设计一个24进制计数器。

数字逻辑实验--24s计时器

数字逻辑实验--24s计时器

数字逻辑课程实验报告实验名称时序电路研究之24s计时器实验人姓名学号班级同组人姓名实验时间成绩一、实验内容结合试验箱设计一个计数器能够实现24秒计时功能,设计应具备必要的输入输出和起停功能二、实验原理24s计数器是由分频器,计数器,译码器组成。

如下图2为24s计数器的原理图图2分频器用于较高频率的时钟进行分频操作,得到较低频率的信号,工作原理是计数。

由于实验箱的工作频率为100000HZ,而我们所需要的24S计数器是以S(即1HZ)为计量单位的,所以需要把频率降低。

其原理图如2.1图2,1计数器主要是用来计数的,24s计时器要求从24s开始依次往下降,所以需要一个计数器,在计数器的设计过程中要有暂停键。

下图2,2为其原理图图2。

2译码器是把具有特殊含义的输入代码译成对应输出的有效信号。

因为最终要把代码下载到芯片上,用led数码管显现出来,所以需要把0-24进行编码,可以把个位和十位分别用一个数码管表示。

由于数码管的输入为四位,所以个位和十位分别用四位2进制进行编码。

如图2.3为译码器的原理图和led灯的图。

其编码对应的真值表为:a f00000 "00000000";00001 "00000001";00010 "00000010";00011 "00000011";00100 "00000100";00101 "00000101";00110 "00000110";00111 "00000111";01000 "00001000";01001 "00001001";01010 "00010000";01011 "00010001";01100 "00010010";01101 "00010011";01110 "00010100";01111 "00010101";10000 "00010110";10001 "00010111";10010 "00011000";10011 "00011001";10100 "00100000";10101 "00100001";10110 "00100010";10111 "00100011";11000 "00100100"; orther 111111111图2.3三、VHDL实现1.分频器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity divpin isport(clk,en:in std_logic;Reset: in std_logic;clkout:out std_logic);end divpin;architecture clock of divpin issignal counter:integer range 0 to 3;signal div_clk: std_logic;beginprocess(clk, Reset,en)beginif (Reset='1') thendiv_clk<='1';counter<=0;elseif (en='1') thenif (clk'event and clk='1') thenif (counter=3)thencounter<=0;div_clk<=not div_clk;elsecounter<=counter+1; end if;end if;elsecounter<=0;end if;end if;end process;clkout<=div_clk;end clock;2.计时器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity clock_24 isport(clk,en,Reset:in std_logic;q:out std_logic_vector(4 downto 0));end clock_24;architecture counter1 of clock_24 issignal counter:std_logic_vector(4 downto 0);beginprocess(clk,en,Reset)beginif (Reset='1') thencounter<="11000";elseif (clk'event and clk='1')thenif(en='1' and counter/="00000")then counter<=counter-1;elsecounter<=counter;end if;end if;end if;end process;q<=counter;end counter1;3.译码器library ieee;use ieee.std_logic_1164.all;entity deco_24 isport(a:in std_logic_vector(4 downto 0);f: out std_logic_vector(7 downto 0) );end deco_24 ;architecture decoder_47 of deco_24 issignal h: std_logic_vector(4 downto 0);beginh<=a;process(h)begincase h iswhen "00000"=>f<="00000000";when "00001"=>f<="00000001";when "00010"=>f<="00000010";when "00011"=>f<="00000011";when "00100"=>f<="00000100";when "00101"=>f<="00000101";when "00110"=>f<="00000110";when "00111"=>f<="00000111";when "01000"=>f<="00001000";when "01001"=>f<="00001001";when "01010"=>f<="00010000";when "01011"=>f<="00010001";when "01100"=>f<="00010010";when "01101"=>f<="00010011";when "01110"=>f<="00010100";when "01111"=>f<="00010101";when "10000"=>f<="00010110";when "10001"=>f<="00010111";when "10010"=>f<="00011000";when "10011"=>f<="00011001";when "10100"=>f<="00100000";when "10101"=>f<="00100001";when "10110"=>f<="00100010";when "10111"=>f<="00100011";when "11000"=>f<="00100100";when "11000"=>f<="00100100";when others=>f<="11111111";end case;end process;end decoder_47 ;四、测试及分析分频器:为了使波形实验结果明显,不妨把频率仅仅降低一点。

24进制计数器

24进制计数器

学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:同步计数器二、实验目的与要求:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。

三、实验内容:通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:clk:计数时钟脉冲输入;clr:异步清零信号,高电平有效,此时输出显示为“00”ten[6..0]:十位数的7段数码管显示输出;one[6..0]:个位数的7段数码管显示输出;六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-------------------------------entity cnt24 isport(clk,clr:in std_logic;ten,one:out std_logic_vector(6 downto 0);co:out std_logic);end entity cnt24;---------------------------architecture str of cnt24 issignal ten_temp,one_temp:std_logic_vector(3 downto 0); begin--------------------------process(clr,clk) isbeginif (clr='1') thenten_temp<="0000";one_temp<="0000";co<='0';elsif (clk'event and clk='1') thenif(one_temp="0011" and ten_temp="0010") then one_temp<="0000";ten_temp<="0000";co<='1';elsif(one_temp="1001") thenten_temp<=ten_temp+1;one_temp<="0000";elseone_temp<=one_temp+1;end if;end if;end process;----------------------------process(one_temp) isbegincase one_temp iswhen"0000"=>one<="1111110";when"0001"=>one<="0110000";when"0010"=>one<="1101101";when"0011"=>one<="1111001";when"0100"=>one<="0110011";when"0101"=>one<="1011011";when"0110"=>one<="1011111";when"0111"=>one<="1110000";when"1000"=>one<="1111111";when"1001"=>one<="1111011";when others=>one<="1111110"; end case;end process;---------------------------------- process(ten_temp)isbegincase ten_temp iswhen"0000"=>ten<="0000000";when"0001"=>ten<="0110000";when"0010"=>ten<="1101101";when others=>ten<="1111110"; end case;end process;-----------------------------------end str;七、实验结果与分析:1,RTL仿真如下:2,时序仿真结果:3,代码下载后的部分实例:计数到23时,无进位。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

24进制计数器逻辑功能及其应用
一、实验目的:
1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。

2. 掌握构成计数器的方法。

二、实验设备及器件:
1. 数字逻辑电路实验板1片
2. 74HC90同步加法二进制计数器2片
3. 74HC00二输入四与非门1片
4. 74HC04 非门1片
三、实验原理:
计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。

按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。

根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。

根据计数的增减趋势,又分为加法、减法和可逆计数器。

还有可预置数和可编程序功能计数器等等。

目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。

使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。

四、实验内容
实验电路图:
用74HC00与非门和74HC04的非门串联,构成与门。

74HC00的引脚图和真值表如图:
74HC04的引脚图与真值表如图:
按实验电路图,参照各个芯片的引脚图和真值表,连接电路。

其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。

实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。

五、实验心得:
本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。

相关文档
最新文档