第七章 QUARTUS II 入门指南

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第七章 QUARTUS II 入门指南7.1 QUARTUS II软件简介

7.2 QUARTUS II基本设计流程

7.3 原理图输入设计方法

7.4 嵌入式逻辑分析仪SignalTap II的使用

7.5 宏功能模块的应用

7.1 QUARTUS II软件简介

Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑;LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块。

7.1.1 QUARTUS II基本特点

功能强大的逻辑综合工具;

完备的电路功能仿真与时序逻辑仿真工具;

定时/时序分析与关键路径延时分析;

可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;

支持软件源文件的添加和创建,并将它们链接起来生成编程文件;

使用组合编译方式可一次完成整体设计流程;

自动定位编译错误;

高效的期间编程与验证工具;

可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;

能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

7.1.2 QUARTUS II系统安装

1、QUARTUS II安装

Quartus II 系统要求较高的系统配置,配置过低将使得编译过程十分缓慢。对于安装Quartus II 7.2版本的系统必须满足以下最低要求:

z硬件:运行速度为866MHz或更快Pentium III 以上计算机,系统内存容量大于256M。

z操作系统:Microsoft Windows 2000或Microsoft Windows XP。

安装QuartusII 之前建议浏览一下安装文件夹下的帮助文件及注意事项。实际安装过程比较简单:z运行Install.exe;

z选择Install Quartus II Software,以后全部“Next”;

z Finish 结束。

图7.1

2、Quartus II License 配置

第一次运行Quartus II 会要求授权码验证,必须正确设定Quartus II的许可文件。如果不安装License,也可以试用,但不能使用仿真等功能。Quartus II的许可文件的设定步骤如下:z选择菜单Tools/LicenseSetup,弹出图7.2 所示“LicenseSetup”对话框。

图7.2

z许可文件的设定有两种方式:

(1)单用户许可方式(single-user licenses):在“License file”对话框,点击“…”按钮选择License.dat 文件即可。

(2)多用户许可方式(multiuser licenses):在“License file”对话框中按如下方式填入:

@

其中是运行许可证服务器(license server)主机的名称。

是列写在license.dat文件中的端口名。

z最后单击OK,完成设定。文件所许可的AMPP and MegaCore functions会出现在“Licensed AMPP/MegaCore functions”窗口中。

7.2 QUARTUS II基本设计流程

本小节将介绍应用Quartus II的基本设计流程。Quartus II设计流程如图7.3所示,主要包括:

设计输入、逻辑综合、布局布线、时序分析、仿真、编程和配置等几个环节。

图7.3

设计输入:将电路以一定的表达方式输入计算机。常用的输入方式有两种:文本输入和图形输入。Quartus II提供的输入工具包括文本编辑器、块与符号编辑器、MegaWizard插件管理器综合:就是将电路的高层描述文件依据给定的硬件结构组建和约束控制条件进行编译、优化、转换,最终获得门级电路描述网表文件。Quartus II提供的综合工具包括分析和综合器,另外还包括辅助工具和RTL查看器等工具。

布局布线:就是使用由Analysis & Synthesis 建立的数据库,将工程的逻辑和时序要求与器件的可用资源相匹配。它将每个逻辑功能分配给最佳逻辑单元位置,进行布线和时序分析,并选定相应的互连路径和引脚分配。Quartus II由适配器(Fitter)实现布局布线的功能。

时序分析:在布局布线后,要对实际布局布线的功能块延时和实际布线延时进行静态时序分析。Quartus II提供TimeQuest 时序分析器和标准时序分析器。标准时序分析器在完整编译之后自动进行时序分析。我们可以使用时序分析器产生的信息来分析、调试并验证设计的时序性能。

仿真:利用仿真工具对适配生成的结果进行模拟测试,以判断设计是否正确。可以使用Quartus II Simulator 仿真任何设计。根据所需的信息类型,可以进行功能仿真以测试设计的逻辑功能,也可以进行时序仿真。Quartus II软件可以仿真整个设计,也可以仿真设计的任何部分。您可以指定工程中的任何设计实体为顶层设计实体,并仿真顶层实体及其所有附属设计实体。

编程与配置:把适配后生成的下载或配置文件,通过编程器或编程电缆向FPGA或CPLD下载,以便进行硬件调试和验证。Quartus II提供编程器(Programmer)可以对器件进行编程或配置。Programmer 具有四种编程模式:

z Passive Serial 模式

z JTAG 模式

z Active Serial Programming 模式

z In-Socket Programming 模式

7.2.1 创建Quartus II工程

1、建立工作文件夹

在开始一个具体的设计项目之前,首先建立一个工作文件夹,以便存储工程项目设计文件。此文件夹被Quartus II软件默认为工作库(work library)。一般而言,不同的设计项目最好放在不同的文件夹中,而同一个工程的所有文件都必须放在同一个文件夹中。

相关文档
最新文档