实验一(2)加法器及译码显示电路

合集下载

加减法运算电路设计

加减法运算电路设计

电子课程设——加减法运算电路设计¥学院:电信息工程学院;专业:电气工程及其自动化班级:姓名:学号:指导老师:闫晓梅2014年12月 19日加减法运算电路设计一、设计任务与要求#1.设计一个4位并行加减法运算电路,输入数为一位十进制数,2.作减法运算时被减数要大于或等于减数。

灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。

4.系统所用5V电源自行设计。

二、总体框图1.电路原理方框图:%图2-1二进制加减运算原理框图2.分析:如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如:若选择加法运算方式,则(1001)2+(0111)2=(10000)2十进制9+7=16,并在七段译码显示器上显示16;若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2,并在七段译码显示器上显示02。

三、选择器件~1.器件种类:}^表3-12.重要器件简介:(1)[(2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。

1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。

2).引脚图:图3-1引出端符号:A1–A4 运算输入端B1–B4 运算输入端《C0 进位输入端∑1–∑4 和输出端C4 进位输出端3).逻辑符号:图3-2 4).内部原理图:-图3-3 5).功能表:表3-2(3)异或门:74LS861).引脚图: 2).逻辑符号:、图3-4 图3-53). 逻辑图:图3-6·4).真值表:表3-3分析:异或:当AB不相同时, 结果才会发生。

组合逻辑电路实验报告

组合逻辑电路实验报告

组合逻辑电路实验报告实验目的:本实验旨在通过实际操作,加深对组合逻辑电路的理解,掌握组合逻辑电路的设计与实现方法,提高实际动手能力和解决问题的能力。

实验原理:组合逻辑电路是由多个逻辑门组成的电路,其输出仅取决于当前输入的状态,与前一状态或时间无关。

常见的组合逻辑电路包括加法器、减法器、译码器、编码器等。

在实验中,我们将重点研究加法器和译码器的设计与实现。

实验内容:1. 加法器的设计与实现。

首先,我们将学习并掌握半加器和全加器的设计原理,然后利用逻辑门实现半加器和全加器电路。

通过实际搭建电路并进行测试,我们将验证加法器的正确性和稳定性。

2. 译码器的设计与实现。

其次,我们将学习译码器的工作原理和应用场景,并利用逻辑门实现译码器电路。

通过实际操作,我们将验证译码器的功能和性能,并探讨其在数字系统中的应用。

实验步骤:1. 硬件搭建。

根据实验要求,准备所需的逻辑门芯片、连接线、示波器等硬件设备,按照电路图进行搭建。

2. 逻辑设计。

根据实验要求,进行逻辑设计,确定逻辑门的连接方式和输入输出关系。

3. 电路测试。

将输入信号输入到电路中,观察输出信号的变化,记录并分析测试结果。

4. 数据处理。

对测试结果进行数据处理和分析,验证电路的正确性和稳定性。

实验结果与分析:经过实验操作和数据处理,我们成功设计并实现了加法器和译码器电路。

通过测试,我们验证了电路的正确性和稳定性,加深了对组合逻辑电路的理解和掌握。

实验总结:通过本次实验,我们进一步加深了对组合逻辑电路的理解,掌握了加法器和译码器的设计与实现方法,提高了实际动手能力和解决问题的能力。

同时,也发现了实验中存在的问题和不足之处,为今后的学习和实践提供了宝贵的经验和教训。

实验改进:在今后的实验中,我们将进一步完善实验方案,加强实验前的理论学习和准备工作,提高实验操作的规范性和准确性,以及加强实验结果的分析和总结,不断提升实验质量和效果。

结语:通过本次实验,我们深刻认识到了组合逻辑电路在数字系统中的重要性和应用价值,也认识到了实验操作的重要性和必要性。

湖北大学 数电实验二、加法器与译码显示器的应用

湖北大学  数电实验二、加法器与译码显示器的应用

3
数电实验二、加法器与译码显示器的应用
CI2
8D
C B
A
8D
C B A
>=1
C01
A3 A2 A1 A0
B3 B2 B1 Bo
CI
Co
Y13
S3
Y12
S2
Y11
S1
S0
Y10
&
>=1
CI
C3
A3
C2 C1
A2
A1
C0
A0
B3 B2 B1 Bo
Co
S3
Y23
S2
Y22
S1
Y21
S0
Y20
关键测试数据
0
0110
0101
1
1
0110
0110
1
1
0110
0111
1
1
0110
1000
1
数码管个位数 数值
0 1 2 3 4 5 b 7 8 q 0 1 2 3 4 5 B 7 8
设计思路:
由真值表可知当二两个 4 位二进制的和为 0--9 (0000--1001) 时数码管个位正常输出,此时十位数的数码管显 示 0:当二两个 4 位二进制的和为 10--18 (此时第一片 74LS83 有进位或输出为 10--15)时数码管个位加上 0110(6)才能正常输出,此时十位数的数码管显示 1。
B3B2 B1B0
0000 0010 0011 0010 0010 0100 0101 1000 1000 0111 1000
十进制 结果
0 2 4 6 7 9 11 14 15 16 18
C0
测试值 理论值

加法器

加法器

八位二进制加法器摘要:加法运算是最重要最基本的运算,所有的其他基本算术运算,减、乘、除、模乘运算最终都能归结为加法运算。

在不同的场合使用的加法器对其要求也不同,有的要求速度更快,有的要求面积更小。

常见的加法器有串行进位加法器、74LS283超前进位加法器等,因此可以通过选取合适的器件设计一个加法器。

本次设计主要是如何实现8位二进制数的相加,即两个000到255之间的数相加,由于在实际中输入的往往是三位十进制数,因此,被加数和加数是两个三位十进制数,范围在000到255之间.当输入十进制数的时候,8421BCD码编码器先开始工作,编码器先将十进制数转换成四位二进制数,输出的四位二进制数直接到达8421BCD码加法器的输入端,我们可以使用71LS185加法器构成的一位8421BCD码的加法器,8421BCD码是用4位二进制数表示1位十进制数,4位二进制数内部为二进制,8421BCD码之间是十进制,即逢十进一。

而四位二进制加法器是按四位二进制数进行运算,即逢十六进一。

二者进位关系不同。

当四位二进制数加法器74LS283完成这个加法运算时,要用两片74LS283。

第一片完成加法运算,第二片完成修正运算。

8421BCD码加法器工作时,8421BCD码的加法运算为十进制运算,而当和数大于9时,8421BCD码就产生进位,而此时十六进制则不一定产生进位,因此需要对二进制和数进行修正,即加上6(0110),让其产生一个进位。

当和数小于等于9时,则不需要修正或者说加上0。

因此我们可以通过三个8421BCD码加法器的相连组成一个三位串行进位并行加法器,这样通过低位向高位产生进位进行十进制的加法运算,最后通过连接数码管显示所得的结果。

当输入二进制数的时候,两个串接的74LS283四位加法器进行加法运算,产生的八位二进制数通过集成芯片转换成三位十进制数,最后通过数码管显示。

另外,本次设计不仅可以适用加数和被加数是000到255的数字,同时也适用于加数和被加数是000到999的任何一个数,这是本次设计的创新之处。

数电实验报告

数电实验报告

《数字电路与逻辑设计》课程实验报告系(院):计算机与信息学院专业:班级:姓名:学号:指导教师:学年学期: 2018 ~ 2019 学年第一学期实验一基本逻辑门逻辑以及加法器实验一、实验目的1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。

2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。

二、实验所用器件和仪表1.二输入四与非门74LS00 1片2.二输入四或非门74LS28 1片3.二输入四异或门74LS86 1片三、实验内容1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。

2.测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。

3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。

4.掌握全加器的实现方法。

用与非门74LS00和异或门74LS86设计一个全加器。

四、实验提示1.将被测器件插入实验台上的14芯插座中。

2.将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的+5V 连接。

3.用实验台的电平开关输出作为被测器件的输入。

拨动开关,则改变器件的输入电平。

4.将被测器件的输出引脚与实验台上的电平指示灯连接。

指示灯亮表示输出电平为1,指示灯灭表示输出电平为0。

五、实验接线图及实验结果74LS00中包含4个二与非门,74LS28中包含4个二或非门,74LS86中包含4个异或门,下面各画出测试第一个逻辑门逻辑关系的接线图及测试结果。

测试其他逻辑门时的接线图与之类似。

测试时各器件的引脚7接地,引脚14接+5V。

图中的K1、K2是电平开关输出,LED0是电平指示灯。

1.测试74LS00逻辑关系接线图及测试结果(每个芯片的电源和地端要连接)图1.1 测试74LS00逻辑关系接线图表1.1 74LS00真值表输 入输 出 引脚1引脚2 引脚3 L L HL H H HL H HHL2. 测试74LS28逻辑关系接线图及测试结果i.ii.iii. 图1.2 测试74LS28逻辑关系接线图表1.2 74LS28真值表i. 输 入 ii. 输 出 iii. 引脚2 iv. 引脚3v. 引脚1 vi. L vii. L viii. H ix. L x. H xi. L xii. Hxiii. L xiv. L xv. H xvi. Hxvii. L3.测试74LS86逻辑关系接线图及测试结果图1.3 测试74LS86逻辑关系接线图表1.3 74LS68真值表输 入输 出 引脚1引脚2 引脚3 L L L L H H H L H HHL4. 使用74LS00和74LS86设计全加器(输入来源于开关K2、K1和K0,输出送到LED 灯LED1和LED0 上,观察在不同的输入时LED 灯的亮灭情况)。

四位加法器设计8421BCD码加法器

四位加法器设计8421BCD码加法器

加法器与译码器显示器的应用
一、实验目的
用一片四位全加器74LS83和门电路设计一位8421BCD码加法器。

要求如下
1、加法器输出的和数也为8421BCD码。

2、画出逻辑图,写出设计步骤。

3、用LED数码管显示和数。

二、实验器材:
一片四位全加器74LS83、两片与非门74LS00、一片BCD-七段显示译码器74LS48、一片共阴极LED管、七个单刀单掷开关,七个20欧姆的电阻和5个1k欧姆的电阻。

三、实验原理,
由于一位8421BCD数A加一位数B有0到18这十九种结果。

而且由于显示的关系当大于9的时候要加六(0110)转换才能正常显示,当数字大于15(1111)时,也要进位,真值表如图
由真值表得,进位Y=CO+A3A4+A2A4.
由进位逻辑函数式画出与非门的逻辑图用两片74LS00代替六个与非门如图,四、实验步骤。

(1)、如图连线
(2)接上电源并测试。

(3)查看是否与数A加数B的结果符合
五、实验结论:
如果想用两个数码管显示两位数则加一个74LS48和LED数码管即可,如图。

实验BCD码加法器

实验BCD码加法器

实验二 文本输入方式设计数字逻辑电路一、实验目的:1、 掌握VHDL 语言的基本语法和设计文件的基本结构。

2、 掌握组合逻辑电路的特性及设计和调试方法。

3、 掌握时序逻辑电路的特性及设计和调试方法。

4、 掌握常用的组合逻辑电路和时序逻辑电路的设计方法。

二、实验的硬件要求:1、 EDA/SOPC 实验箱。

2、 计算机。

三、实验原理数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。

组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。

时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。

1、组合逻辑电路①组合逻辑电路的定义通常组合逻辑电路可以用图1.1所示结构来描述。

其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。

输入和输出之间的逻辑函数关系可用式1.1表示: ②组合逻辑电路的设计方法组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。

理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。

在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。

设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。

组合电路的基本设计步骤可用图1.2来表示。

③组合逻辑电路的特点及设计时的注意事项a)组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。

(实际电路中图 2.1 组合逻辑电路框图L0=F0(X0,X1,···Xn) · · ·Lm=F0(X0,X1,···Xn)(1.1)图 2.2 组合电路设计步骤示意图图还要考虑器件和导线产生的延时)。

组合逻辑电路的设计实验报告

组合逻辑电路的设计实验报告

组合逻辑电路的设计实验报告本实验旨在通过设计和实现组合逻辑电路,加深对数字电路原理的理解,提高实际动手能力和解决问题的能力。

1. 实验目的。

本实验的主要目的是:1)掌握组合逻辑电路的设计原理和方法;2)了解组合逻辑电路的实际应用;3)培养实际动手能力和解决问题的能力。

2. 实验原理。

组合逻辑电路由多个逻辑门组成,根据输入信号的不同组合产生不同的输出信号。

常见的组合逻辑电路包括加法器、减法器、译码器、编码器等。

在本实验中,我们将重点学习和设计加法器和译码器。

3. 实验内容。

3.1 加法器的设计。

加法器是一种常见的组合逻辑电路,用于实现数字的加法运算。

我们将学习半加器和全加器的设计原理,并通过实际电路进行实现和验证。

3.2 译码器的设计。

译码器是将输入的数字信号转换为特定的输出信号的组合逻辑电路。

我们将学习译码器的工作原理和设计方法,设计并实现一个4-16译码器电路。

4. 实验步骤。

4.1 加法器的设计步骤。

1)了解半加器和全加器的原理和真值表;2)根据真值表,设计半加器和全加器的逻辑表达式;3)根据逻辑表达式,画出半加器和全加器的逻辑电路图;4)使用逻辑门集成电路,搭建半加器和全加器的电路;5)验证半加器和全加器的功能和正确性。

4.2 译码器的设计步骤。

1)了解译码器的原理和功能;2)根据输入和输出的关系,设计译码器的真值表;3)根据真值表,推导译码器的逻辑表达式;4)画出译码器的逻辑电路图;5)使用逻辑门集成电路,搭建译码器的电路;6)验证译码器的功能和正确性。

5. 实验结果与分析。

通过实验,我们成功设计并实现了半加器、全加器和译码器的电路。

经过验证,这些电路均能正常工作,并能正确输出预期的结果。

实验结果表明,我们掌握了组合逻辑电路的设计原理和方法,提高了实际动手能力和解决问题的能力。

6. 实验总结。

通过本次实验,我们深入学习了组合逻辑电路的设计原理和方法,掌握了加法器和译码器的设计和实现技术。

项目二一位十进制加法计算器的逻辑电路设计与制作课件.ppt

项目二一位十进制加法计算器的逻辑电路设计与制作课件.ppt
上一页 下一页
任务二 译码器逻辑电路设计与制 作
【知识链接2:LED数码管及其驱动电路】
LED数码管(也称发光二极管数码管)是用显示数字、文字 和符号的常用器件。如图2-8所示。
LED数码管根据管内PN结的连接方式不同,可分为共阴数码 管和共阳数码管两种。共阴数码管就是管内所有PN结的阴极 都连在一起,如图2-9(a)所示。使用时应将共阴端接低电 平,阳极接显示译码器的哥哥输出端。共阳数码管就是管内 所有PN结的阳极都连在一起,如图2-9(b)所示。使用时应 将共阳端接高电平,阴极接显示译码器的各个输出端。
Li Ai Bi
Gi Ai Bi AiBi Ai Bi AiBi Mi AiBi
根据上面的表达式可画出如图2-21所示的逻辑电路图。
上一页 返 回
仿真演练一 七段数码管显示电路
【技能目标】 (1)学会用字信号发生器。 (2)学会编码七段数码管。 (3)进一步会用逻辑分析仪。 【知识目标】 (1)掌握数码管的编码方法。 (2)掌握字信号发生器的使用方法。
4.活动提示
(1)为保证课堂效率,建议学生将前两次任务中制作的电路 保留并在此次任务中直接使用。
(2)此设计为组合逻辑,用集成逻辑门实现,设计方法可见 后面的知识链接。
上一页 下一页
任务三 一位十进制加法器的逻辑 电路设计与制作
【知识链接1:半加器与全加器的基本知识 】
1.一位加法器 (1)半加器。 半加器的真值表如表2-7所示。表中的A和B分别表示两个相
3.实践活动要求
要求每人用逻辑门设计BCD编码器的控制电路,并在焊接板( 或面包板)上将设计的电路搭建起来,然后调试并实现所要求 的功能。
4.实践仪器与元件
万用表、电烙铁、按钮开关10只,红色LED4只,集成逻辑门 若干,电阻、电容若干,导线,焊接板(或面包板),焊锡丝

项目二一位十进制加法计算器的逻辑电路设计及制作

项目二一位十进制加法计算器的逻辑电路设计及制作


根据以上逻辑表达式可以绘制出8421BCD码编码器的逻辑电 路,如图2-3所示。 返 回 上一页
任务二 译码器逻辑电路设计与制 作

【技能目标】 能设计并使用译码器。


【知识目标】 (1)掌握编码技术。 (2)掌握集成LED译码器的使用方法。
下一页
任务二 译码器逻辑电路设计与制 作
【实践活动】 1.实践活动任务描述
【实践活动】 5.活动提示



(1)LED正、负板间加正向电压时导通,一般电压大于2V 时发光,不加电压或加反向电压时不导通,LED不亮,应与 LED串联一100~1000 Ω的电阻保护LED不致因过流而损坏。 (2)10个按钮开关代表0~9这10个十进制数,输出4个信号 控制4个LED的显示。 (3)此设计为组合逻辑,用集成逻辑门实现,设计方法可见 后面的知识链接。

【技能目标】 能设计并制作BCD码编码器。 【知识目标】 掌握编码技术。 【实践活动】 1.实践活动内容
BCD编码器实验。
下一页
任务一 BCD编码器的逻辑电路设 计与制作
【实践活动】 2.实践活动任务描述

在电路输入端按下代表0~9的任何一个按钮开关,在输出端, LED就会显示与该十进制数对应的二进制数值。任何时刻只允 许输入一个有效信号。
上一页
下一页
任务三 一位十进制加法器的逻辑 电路设计与制作
【知识链接2:数据选择器、数据分配器及 数值比较器】


3.数值比较器 数据比较器是用来判断输入数据大小的逻辑器件,数值比较 器可以按位数分为一位数值比较器和多位数值比较器,首先 简要介绍一位数值比较器的功能和特点。 其真值表如表2-11所示。由真值表可以得到下列逻辑表达 式: Li Ai Bi

编码译码显示电路

编码译码显示电路
实验二 编码、译码、显示电路
胡俊杰
2005.3
实验二编码、译码、显示电路
实验目的
学习实验中各种故障的检测、排除。 学习编码器原理及使用。 熟悉七段译码器的逻辑功能和使用。 掌握七段显示器的使用方法。 熟悉组合电路的应用。

学习复杂电路分步接线、测试、排故、验证。
实验二编码、译码、显示电路
实验二 编码、译码、显示电路
实验内容
2. 测试优先编码器:输入的8个开关通过74LS148优先编码器编码成二进制码,经过非门转化成原 码,经过显示译码,由数码管显示。
74148输出加非门 后转为原码
利用Eo的变化使没 有开关有效时,数 码管消隐。
原接开关的线拆除后, 改接74148输出
接地
实验二 编码、译码、显示电路
实验原理实验原理实验二实验二编码译码显示电路编码译码显示电路实验中选用的cd4511是一个bcd码七段译码器并兼有驱动功能内部没有限流电阻与数码管相连接时需要在每段输出接上限流电阻引脚排列见下图bilt十进制或功能输入输出实验箱中的显示器实验箱中的显示器实验二实验二编码译码显示电路编码译码显示电路内部已接好cd4511驱动内部已接好限流电阻公共端已接好vcc或地数码管的abcdefg七段连到公共输入端并内接驱动
0 1 2 3 4 5 6 7 8 9
消 隐 锁 定 灯测试
0 0 0 0 0 0 0 0 0 0
× 1 ×
0 0 0 0 0 0 0 0 1 1
0 0 0 0 1 1 1 1 0 0
0 0 1 1 0 0 1 1 0 0
0 1 0 1 0 1 0 1 0 1
×××× ×××× ××××
0 1 ×
0 0 0 0 0 0 0 锁定在上一个LE=0 1 1 1 1 1 1 1

74138的实验报告

74138的实验报告

(7) 学习定时分析工具的使用方法。

74138 的实验报告数字系统设计综合实验报告 数字系统设计综合实验报告 实验名称 :1 、加法器设计2、编码器设计3、译码器设计4、数据选择器设计5、计数器设计 6、累加器设计 7、交通灯控制器设计班级: 姓名: 学号: 指导老师 :实验 1 加法器设计掌握用 Verilog HDL 语言设计多位加法器的方法。

(6) 学习运用波形仿真验证程序的正确性。

1) 实验目的(1) 复习加法器的分类及工作原理。

(2) 掌握用图形法设计半加器的方法。

(3) 掌握用元件例化法设计全加器的方法。

(4) 掌握用元件例化法设计多位加法器的方法。

(5)2) 实验原理加法器是能够实现二进制加法运算的电路,是构成计算机中算术运算电路的基本单元。

目前,在数字计算机中,无论加、减、乘、除法运算,都是化为若干步加法运算来完成的。

加法器可分为1 位加法器和多位加法器两大类。

1 位加法器有可分为半加器和全加器两种,多位加法器可分为串行进位加法器和超前进位加法器两种。

(1) 半加器如果不考虑来自低位的进位而将两个1 位二进制数相加,称半加。

实现半加运算的电路则称为半加器。

若设A和B是两个1位的加数,S是两者相加的和,C是向高位的进位。

则由二进制加法运算规则可以得到。

(2) 全加器在将两个1 位二进制数相加时,除了最低位以外,每一位都应该考虑来自低位的进位,即将两个对应位的加数和来自低位的进位三个数相加,这种运算称全加。

实现全加运算的电路则称为全加器。

若设A、B、CI分别是两个1位的加数、来自低位的进位,S是相加的和,C是向咼位的进位。

则由二进制加法运算规则可以得到3)(1)(2)(3) 实验内容及步骤用图形法设计半加器,仿真设计结果。

用原件例化的方法设计全加器,仿真设计结果用原件例化的方法设计一个4 为二进制加法器,仿真设计结果,进行定时分析。

(4) 用Verilog HDL 语言设计一个4 为二进制加法器,仿真设计结果,进行定时分析。

实验一(2)、加法器及译码显示电路分析

实验一(2)、加法器及译码显示电路分析
2设计一个余3码至8421码的转换电路并将结果用74ls47和共阳极led数码管组成的译码显示电路显示成十进制09
实验一(2)、加法器及译码显示电路
一、实验目的
1.掌握二进制加法运算。 2.掌握全加器的逻辑功能。 3.熟悉集成加法器及其使用方法。 4.掌握七段译码器和数码管的使用。
二、设计任务与要求
1. 电子技术综合实验箱; 2.集成电路:74LS83,74LS86,74LS00,
74LS47。 3.共阴极LED数码管。
五、实验内容及步骤
1.按基本设计任务与要求设计出的电路,若需要仿 真,则用Multisim 7进行软件仿真。
2.在实验仪上安装电路,检查实验电路接线无误之 后接通电源。
3.测试全加器的功能。记录实验结果。 4.测试转换器的功能。
原理图; 4. 对实验结果进行分析; 5. 思考题。
七、思考题
1.用74LS83能否实现8421码转换为余3 码的转换?
2.画出用74LS48和共阴极LED数码管实 现一个译码显示电路。
器件引脚图
74LS00
74LS86
74LS83
74LS47
加余 数三
码 被 加 数
A3 A2 A1
A0
5
1 3 8 10
B3 B2
16 4
B1 7
VCC
W
15
2X Y
6
9Z
B0 11 13 12
D6 C2
B1 A7
译码器
74LS83
13 12 11 10
9
15
14Leabharlann a b c d e f g
8
共阴数码管
二、设计任务与要求
2.扩展内容(仿真) 设计一个4位BCD码加法器 注意:在计满10时即进位。画出逻辑图, 列出元件清单。仿真加法器用CMOS 4008

数字电路加法运算电路

数字电路加法运算电路

1 设计任务描述1.1设计题目:加法运算电路1.2 设计要求1.2.1 设计目的(1) 掌握1位十进制加法运算电路的构成,原理和设计原理;(2)熟悉集成电路的使用方法。

1.2.2 基本要求(1) 设计键盘以及编码电路;(2) 设计加数寄存器A和被加数寄存器B单元;(3) 实现4bit二进制码加法的BCD调整;(3) 用数码管以十进制形式显示最后运算结果。

1.2.3 发挥部分(1) 拓展十进制减法;(2) MR存储运算中间值;(3)其他。

2 设计思路根据此次课程设计的要求,我设计的简单计算器包括两大部分:加法计算部分,减法计算部分。

其中加法计算部分由五个部分组成,键盘及编码电路、加数寄存器A和被加数寄存器B、加法运算电路、4bit二进制码加法的BCD调整和译码显示器。

减法计算部分和加法计算部分共用同一个键盘,其他部分由反相器,求补逻辑电路以及相应的译码显示器组成。

其中有几个难点:如何实现2位十进制和怎样利用寄存器把数据传输到加法器中。

因为经键盘及编码器输出的是2进制数,那么寄存器接受并输出的数据也是2进制数,所以加法器输出的数据应是8421BCD码,使显示装置最终显示十进制数。

因为1位十进制数的8421BCD码与二进制数表现形式相同,但2位十进制数的8421BCD码与二进制数不同,所以我设计的加法运算装置是由两个74S283N芯片来实现2位十进制数的输出。

原理是让第二个芯片的一个输入端接第一个芯片的输出端,另一个输入端进行对第一个芯片的运算结果进行判断,大于等于10时输入6即2进制数的0110,反之输入0。

输出结果即为2位十进制数的8421BCD码。

寄存器的设计是由一个74LS374N芯片和两个74S194N芯片组成的,其中两个74S194N芯片并联后与74LS374N芯片串联。

74LS374N芯片的脉冲由键盘的数字键提供,使得按下数字键后该寄存器就存储输入的数字,并通过译码显示器显示。

两个74S194N芯片的脉冲分别由键盘的+号和=号提供,分别存储加数与被加数并输入到第一个74S283N芯片中运算。

EDA实验报告

EDA实验报告
cin: in std_logic;
sum: out std_logic_vector(n downto 1);
cout: out std_logic
);
end siwei;
architecture Behavioral of siwei is
component quanjia
port (a,b,cin:in std_logic;
when"110"=>Y<="01000000";
when"111"=>Y<=;
whenothers=>null;
endcase;
elseY<=;
endif;
endprocess;
endBehavioral;
仿真结果:
2. 60进制计数器
实验程序:
library IEEE;
use Uncomment the following lines to use the declarations that are
仿真结果:
实验二、四位全加器和8位移位寄存器设计实验
1、实验目的
1)学习了解加法器工作原理。
2)学习用VHDL语言设计全加器的设计方法。
3)学习使用元件例化的方法设计多位加法器。
4)了解移位寄存器的工作原理
5)学习移位寄存器设计方法
2、实验内容
1)用VHDL语言设计全加器。
2)用元件例化方法设计一个四位二进制加法器。
验一:译码器及计数器设计实验
1、实验目的
1)复习二进制译码器的功能。
2)学习VHDL语言源程序输入方法。
3)学习VHDL语言源程序检查和修改。

《实用数字电子技术项目教程》课件 项目二 加法及数码显示器制作

《实用数字电子技术项目教程》课件 项目二  加法及数码显示器制作

1.七段显示译码器74LS48
七段显示译码器74LS48是输出高电平有效的译码器, 其引脚排列图如下图所示。其中,A3 ~ A0为译码器的输入 信号,Ya ~ Yg 为译码器的7个输出, LT 为译码器的灯测试 输入, BI / RBO 为译码器的消隐输入/灭零输出, RBI 为灭零 输入。
表2-6所示为 74LS48的逻辑功 能表。
由表2-6可知,当输入 A3A2 A1A0 =0000~1001时,输出控制LED 数码管显示数字0~9;当输入 A3A2 A1A0=1010~1111时,输出为稳定 的非数字信号,据此可检查输入信号的正确性。
此外,该芯片还有以下三种控制功能:
✓ 熄灯:即消隐功能。当 BI 输入0时,无论其他控制输入 ( LT 、 RB)I 及信号输入(A3A2 A1A0 )为何值,各个输出端均输出0, 使数码管熄灭。该功能主要用于多数码管的动态显示。
(二)七段显示译码器
在数字电路中,数字量都是以一定的代码形式出现的,所 以这些数字量要先经过译码,才能送到数字显示器去显示。 这种能把数字量翻译成数字显示器所能识别的信号的译码器 称为数字显示译码器。
七段显示译码器指的是输入8421BCD码,输出七段显示码 的集成电路芯片。因为LED数码管有共阴极和共阳极两种结 构,所以七段显示译码器也有输出段码高电平有效和低电平 有效两种。输出高电平有效的译码器用于控制共阴极数码管; 输出低电平有效的译码器用于控制共阳极数码管。
(4位二进制数),
输出为EDCBA,其中E为十进制数的十位,DCBA为个位
BCD码。
(一)真值表
码制转换电 路的真值表如表 2-8所示。
(二)化简 码制转换电路的卡诺图如下图所示。
由卡诺图可得:

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。

二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。

通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。

2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。

常见的组合逻辑电路有加法器、编码器、译码器等。

3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。

常见的时序逻辑电路有计数器、寄存器等。

四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。

2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。

3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。

五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。

(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。

(3)对设计进行编译,检查是否存在语法错误。

(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。

2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。

(2)编写测试代码,对计数器进行仿真验证。

(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。

3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。

(2)进行编译和仿真,确保译码器的功能正确。

(3)将译码器与计数器连接起来,实现数码管的动态显示。

译码器及数码显示电路

译码器及数码显示电路

4.1 概述
常用组合逻辑电路模块的品种很多, 主要有全加器、编码器、译码器、数据 分配器、数据选择器、数值比较器等。 对逻辑电路的学习主要掌握电路的端 子名称、作用以及有效控制电平。
4.2
编码器
编码:用文字、符号或数码表示特定的对象。
二进制编码:输入M位代码 ,输出N位二 进制代码 M≤2N
4.2 编码器
据3个二进制数相加及加法规则,不难列出全加器的真值表。表 中Ai、Bi为两个1位二进制数,Ci是低位的进位数,Si为全加和, Ci+1是向相邻高位的进位数。
据表可得Si和Ci+1的逻辑表达式:
Si Ai B i Ci Ai Bi C i Ai B i C i Ai Bi Ci Ci ( Ai B i Ai Bi ) C i ( Ai Bi Ai B i ) Ai Bi Ci Ci 1 Ai Bi Ci Ai B i Ci Ai Bi C i Ai Bi Ci Ci ( Ai Bi Ai B i ) Ai Bi (C i Ci ) Ci ( A B ) Ai Bi
(4).集成加法器的应用。
(1)加法器级联实现多位二进制数加法运算 图(a)所示74283是一种典型的集成加法器。一片74283只 能进行4位二进制数的加法运算,将多片74283进行级联, 就可扩展加法运算的位数。
(2)用74283构成一位8421BCD码加法器。
本单元学习指导
编码器、译码器、数据选择器、数据分配器加法 器、数值比较器等是常用的组合电路器件。 编码器主要是实现把一些数字、符号、文字等用 二进制代码表示的器件。译码器相当于是编码器的逆 过程。数据选择器、数据分配器主要用于数据的传送 ,从而实现数据点对点的传送和数据传送的并行-串 行的转换。数值比较器由于其可以比较数值的大小从 而应用于一些判断电路。加法器是CPU的核心器件, 可以完成加法和减法的运算。

数字系统电路实验报告(3篇)

数字系统电路实验报告(3篇)

第1篇一、实验目的1. 理解数字系统电路的基本原理和组成。

2. 掌握数字电路的基本实验方法和步骤。

3. 通过实验加深对数字电路知识的理解和应用。

4. 培养学生的动手能力和团队合作精神。

二、实验原理数字系统电路是由数字逻辑电路构成的,它按照一定的逻辑关系对输入信号进行处理,产生相应的输出信号。

数字系统电路主要包括逻辑门电路、触发器、计数器、寄存器等基本单元电路。

三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 示波器4. 逻辑分析仪5. 编程器四、实验内容1. 逻辑门电路实验(1)实验目的:熟悉TTL、CMOS逻辑门电路的逻辑功能和测试方法。

(2)实验步骤:1)搭建TTL与非门电路,测试其逻辑功能;2)搭建CMOS与非门电路,测试其逻辑功能;3)测试TTL与门、或门、非门等基本逻辑门电路的逻辑功能。

2. 触发器实验(1)实验目的:掌握触发器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建D触发器电路,测试其逻辑功能;2)搭建JK触发器电路,测试其逻辑功能;3)搭建计数器电路,实现计数功能。

3. 计数器实验(1)实验目的:掌握计数器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建同步计数器电路,实现加法计数功能;2)搭建异步计数器电路,实现加法计数功能;3)搭建计数器电路,实现定时功能。

4. 寄存器实验(1)实验目的:掌握寄存器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建4位并行加法器电路,实现加法运算功能;2)搭建4位并行乘法器电路,实现乘法运算功能;3)搭建移位寄存器电路,实现数据移位功能。

五、实验结果与分析1. 逻辑门电路实验通过搭建TTL与非门电路和CMOS与非门电路,测试了它们的逻辑功能,验证了实验原理的正确性。

2. 触发器实验通过搭建D触发器和JK触发器电路,测试了它们的逻辑功能,实现了计数器电路,验证了实验原理的正确性。

3. 计数器实验通过搭建同步计数器和异步计数器电路,实现了加法计数和定时功能,验证了实验原理的正确性。

EDA实验二(加法器设计)

EDA实验二(加法器设计)

实验一、EDA软件及PLD器件的设计应用
2015级电子信息工程
1515212024 罗宏刚
一、四位加法计数器的设计
1.VHDL语言输入。

2.全程编译成功。

3.功能仿真。

4.仿真波形及结果。

5.封装
二、七段数码显示译码器的设计
1.VHDL语言输入。

2.全程编译成功。

3.功能仿真。

4.仿真波形及结果。

5.封装
三、含七段数码显示译码的4位加法器的设计
1.原理图输入。

2.全程编译成功。

3.功能仿真。

4.仿真波形及结果。

5.封装。

四、硬件验证部分
1.将实验箱与电脑相连接,点击菜单栏的TOOL中的Programmer进行硬件实验。

2.在电路结构图中设置,找出引脚名和然后找出引脚名所对应的位置的引脚号,然后将此引脚号输入quarts中pins端口的芯片位置。

(结构管脚电路图)(电路引脚对照图)
3.这里选择键6键7键8分别作为时钟、使能、重置端,分别对应PIN28、PIN30、PIN31。

4.设置硬件,并下载到FPGA进行硬件验证。

5.将数码管设置到模式6,开通电源后,通过键7使能打开,按键6可以在数码管看到跳变的数字,依次显示为:1,2,3,4,5,6,7,8,9,A,B,C,D,E,F,按键8可置零。

硬件验证成功。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
A3 A2 A1 A0 B3 B2 B1 B0
5
VCC
1 15 3 8 2 10 6 16 4 9 7 11 13 12
W X Y Z
D 6 C 2 B 1 A 7
13 12 11 10 15 14
9
பைடு நூலகம்
a b c d e f g
8
译码器 译码器 译码器 译码器
74LS 83
5.设计一个四位BCD码加法器。满10时即进位。(仿真)画 出逻辑图,列出元件清单。
实验二 加法器及译码显示电路
一、实验目的:
1.掌握二进制加法运算。2.掌握全加器的逻辑功能。 3熟悉集成加法器及其使用方法。 4.掌握七段译码器和数码管的使用。
二、设计任务
1.基本设计任务
(1)设计一个一位二进制全加器。要求用74LS00,74LS86实现。 (2)设计一个用74LS83实现余3码至8421码的转换电路。 (3)用74LS48和共阴极数码管组成译码显示电路。
16 15 14 13 12 11 10 9
8
A 加数 B 被加数 Z 和数 C0 C4进位
2.扩展设计任务
设计一个四位BCD码加法器。满10时即进位。
三.实验原理(指导书129)
四.实验内容及步骤
1.按基本设计任务与要求设计电路 2.测试所设计的全加器的功能并验证表5-8 3测试所设计转换器功能。表5-7 实验前在逻辑图上标出被加数的数值。实验时通过开关输入 余3码,通过观察发光二极管的状态,验证转换是否正确。 4.在实验内容4的基础上,完成在数码管上显示十进制数0~9。 见教材P144 例4-9 在实验机上安装好电路,检查电路无误之后接通电源。 加 余 数 三 码 被 加 数
见教材P156
例4-16
仿真加法器用CMOS 4008
五.实验报告要求及思考题见实验指导书 (130页)
4位二进制全加器74LS83管脚图
1 2 3 4 5 6 7
A4 Z3 A3 B3 Vcc Z2 B2 A2 B4 Z4 C4 C0 GND B1 A1 Z1 Ci+1 & & & =1 Ai Bi Ci =1 Si
相关文档
最新文档