60进制计数器设计
60进制计数器设计(VHDL)
《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:项目满分5分得分一、实验名称实验6:60进制计数器设计二、任务及要求【基本部分】4分1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。
2、设计完成后生成一个元件,以供更高层次的设计调用。
3、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
【发挥部分】1分在60进制基础上设计6进制计数器,完成时序仿真。
三、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jinzhi60 isport(clk:in std_logic;co:out std_logic;qh:buffer std_logic_vector(3 downto 0);ql:buffer std_logic_vector(3 downto 0));end entity jinzhi60;architecture art of jinzhi60 isbeginco<='1'when(qh="0101"and ql="1001")else'0';process(clk)beginif(clk='1')thenif(ql=9)thenql<="0000";if(qh=5)thenqh<="0000";elseqh<=qh+1;end if;elseql<=ql+1;end if;end if;end process;end architecture art;四、仿真及结果分析由以上代码编译,仿真,得到一下时序仿真波形图。
VHDL60进制计数器加法器设计实验
实验四、计数器设计实验1、实验目的1)学习计数器不同设计方法。
2)学习掌握VHDL中不同输出类型在具体应用时的区别(OUT、INOUT、BUFFER)。
3)学习掌握时序电路仿真方法。
2、实验内容1)采用VHDL设计方法,设计一个60进制计数器,采用BCD码输出。
2)给出上述设计的仿真结果。
3、实验设备1)清华同方PⅣ2.4G\256M60G2)ISE 6.2i—Windows软件系统4、实验步骤1)创建工程2)VHDL输入3)检查语法4)建立测试激励波形进行仿真5、实验程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COUNT ISPORT( CLK,EN,CR :IN STD_LOGIC;LD :IN STD_LOGIC;D :IN STD_LOGIC_VECTOR(7 DOWNTO 0); CO :OUT STD_LOGIC;Q :OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END COUNT;ARCHITECTURE A OF COUNT ISSIGNAL QN :STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINCO<='1' WHEN(QN=X"59" AND EN='1')ELSE'0';PROCESS(CLK,CR)BEGINIF(CR='0')THENQN<=X"00";ELSEIF(CLK'EVENT AND CLK='1') THENIF (LD='0') THENQN<=D;ELSIF(EN='1') THENIF QN(3 DOWNTO 0)=9 THENQN(3 DOWNTO 0)<="0000";IF QN(7 DOWNTO 4)=5 THENQN(7 DOWNTO 4)<="0000";ELSEQN(7 DOWNTO 4)<= QN(7 DOWNTO 4)+1;END IF;ELSEQN(3 DOWNTO 0)<= QN(3 DOWNTO 0)+1;END IF ;END IF;END IF ;END IF;END PROCESS;Q<=QN;END A;6、仿真结果1)测试激励波形 2)仿真结果从仿真结果来看输出q从00000000逐渐加1变化到01011001,然后又变回到00000000,由此可以看出上述电路完成了六十进制计数器的功能。
EDA-六十进制计数器的VHDL设计概要
THEN
3.1.3 VHDL的数据类型
1. BIT:位数据类型,只有两个取值:‘0’和‘1’。 2. BIT_VECTOR( ): 位矢量数据类型。 3. STD_LOGIC:标准逻辑位数据类型。有9种不同的取值: 4. STD_LOGIC_VECTOR():标准逻辑位矢量数据类型。
STD_LOGIC所定义的9种数据的含义是:
数据类型时,需要使用库和程序包,具体格式如下所示:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
3.2 VHDL的完整结构
图2-2 D触发器
D触发器的功能:在时钟信号上升沿到来的时候,输 出跟随输入变化,否则,输出保持不变。
3.2.1 时钟上升沿检测表式
时钟上升沿的检测有两种表达方式:
1. CLK’EVENT AND CLK = ‘1’
2. RISING_EDGE(CLK)
3.2.2 D触发器的VHDL设计
库与程序包声明 标准逻辑位
LIBRARY IEEE ;
USE IEEE.STD_LOGIC_1164.ALL ; 库 ENTITY DFF1 IS 程序包 PORT (CLK : IN STD_LOGIC ; 实体 D : IN STD_LOGIC ; Q : OUT STD_LOGIC );
END DFF1;
3.3 六十进制计数器的VHDL设计
六十进制BCD码计数器的源程序:
实体名
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cnt60 IS PORT (clk, clr: IN STD_LOGIC; ten, one: DOWNTO 0 ); OUT STD_LOGIC_VECTOR(3
60进制计数器设计说明
由200HZ,5V电源供给。
作高位芯片与作低芯片位之间级联。
4)两个芯片间的级联。
2.六十进制计数器设计描述2.1设计的思路1)芯片介绍:74LS192 为加减可逆十进制计数器,CPU端是加计数器时钟信号,CPD是减计数时钟信号RD=1 时无论时钟脉冲状态如何,直接完成清零功能。
RD=0,LD=0时,无论时钟脉冲状态如何,输入信号将立即被送入计数器的输出端,完成预置数功能。
2)十进制可逆计数器74LS192引脚图管脚及功能表3)74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下所示:图5-4 74LS192的引脚排列及逻辑符号(a)引脚排列 (b) 逻辑符号图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。
输入输出MR P3 P2 P1 P0 Q3 Q2 Q1 Q01 ×××××××0 0 0 00 0××d c b a d c b a0 11××××加计数1 1 ××××减计数4)利用两片74ls192分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个芯片连接构成十进制计数器,另一个通过一个与门器件构成一个六进制计数器。
5)如下图:2.2设计的实现1)两芯片之间级联;把作高位芯片的进位端与下一级up端连接这是由两片74LS192连接而成的60进制计数器,低位是连接成为一个十进制计数器,它的clk端接的是低位的进位脉冲。
高位接成了六进制计数器。
当输出端为0101 的时候在下个时钟的上升沿把数据置数成0000 这样就形成了进制计数器,连个级联就成为了60进制计数器,分别可以作为秒和分记时。
设计60进制计数器数电课程设计资料
电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自1418刘科2014303010328用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
原理图六十进制计数器设计
实验名称:基于FPGA的原理图六十进制计数器设计
1.实验目的:
熟悉使用Quartus II的原理图输入方法设计简单组合电路。
把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。
2实验内容:
完成六十进制加法计数器的设计,包括原理图输入,编译,综合,适配,仿真,实验板上的硬件测试。
选择模式5,数码管8和7显示数字进制,指示灯8接进位。
3. 实验方案(程序设计说明)
频率计的核心元件之一是含有时钟使能及进位扩展输出的十进制计数器。
在原理图的绘制过程中应特别注意图形设计规则中信号标号和总线的表达方式。
为了测试六十进制计数器的功能,可以将counter60设置成工程,工程名和顶层文件名都取为counter60。
4. 实验步骤或程序(经调试后正确的源程序)
见附件A
5.程序运行结果
6.出现的问题及解决方法
无
附件A
实验步骤或程序:
实验原理图:
管脚设置:。
60进制计数器课程设计
60进制计数器课程设计60进制计数器设计 (1)绪论 (1)1.1设计背景 (1)1.2设计思想 (1)2器件介绍 (2)2.1电阻 (2)2.2电容 (3)2.3 555秒发⽣器 (3)2.4 74ls00 (5)2.574ls90 (6)2.674ls48 (7)3软件仿真 (8)3.1 555仿真图 (8)3.2 60进制仿真图 (9)3.3 仿真图 (9)4焊接⽅法 (11)4.1焊接⽅法 (11)4.2 注意事项 (12)4.3调试 (12)4.4实际图 (13)5总结 (14)6致谢 (16)7 参考⽂件 (17)60进制计数器设计摘要:60进制计数器的设计是以数电和模电为基础,结合模电⾥⾯的置零⽅法,利⽤了555芯⽚、74ls00、74ls48、74ls90以及显⽰管和各种电阻电容组成的。
利⽤74ls90可以实现制数功能,可以单独制成⼗进制。
利⽤74ls00(与⾮门)与74ls90可以制成6进制,再利⽤74ls48和显⽰管就可以在基于EWB的软件平台上完成该设计。
本设计采⽤较为常⽤的74系列芯⽚,及555芯⽚实现了信号灯与信号脉冲同步实现、同步控制,进⽽提⾼了整个系统的稳定性、独⽴性。
在实际⽣活中我们⽤60进制的有钟表的秒分进制。
随着我国科学技术与⾼科技的发展,对于仪器精度的要求更加的⾼,为了满⾜中国⾼科技的发展需求研究⾼精度计数器对于我国的航天、电⼦等业务具有很⼤的作⽤.关键字:60进制555芯⽚74ls00 74ls48 74ls90绪论1.1设计背景计数器是⼀个⽤以实现计数功能的时序部件,它不仅可⽤来及脉冲数,还常⽤作数⼦系统的定时、分频和执⾏数字运算以及其它特定的逻辑功能。
⽬前,⽆论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使⽤者只要借助于器件⼿册提供的功能和⼯作波形图以及引出端的排列,就能正确运⽤这些器件。
计数器在现代社会中⽤途中⼗分⼴泛,在⼯业⽣产、各种和记数有关电⼦产品。
设计60进制计数器--电子技术基础课程设计
X X 大学电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。
(完整word版)设计60进制计数器--电子技术基础课程设计(word文档良心出品)
X X 大学电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。
60进制计数器课程设计
60进制计数器课程设计一、课程目标知识目标:1. 学生能够理解60进制计数器的概念,掌握其与十进制的转换方法。
2. 学生能够运用60进制计数器进行简单的加、减运算。
3. 学生了解60进制在实际生活中的应用,如时间、角度等。
技能目标:1. 学生能够独立完成60进制与十进制的转换。
2. 学生能够运用所学知识解决实际问题,如将时间、角度等转换为60进制表示。
3. 学生通过小组合作,培养团队协作能力和沟通能力。
情感态度价值观目标:1. 学生对60进制计数器产生兴趣,培养对数学的热爱。
2. 学生在探究过程中,养成独立思考、勇于尝试的良好习惯。
3. 学生通过学习,认识到数学与生活的紧密联系,增强学以致用的意识。
课程性质:本课程为数学学科的一节实践探究课,旨在帮助学生掌握60进制计数器的相关知识,提高学生的实际操作能力和解决问题的能力。
学生特点:四年级学生具有一定的数学基础,对新鲜事物充满好奇,喜欢动手操作,但注意力容易分散。
教学要求:教师需结合学生的特点,设计生动有趣的教学活动,引导学生积极参与,鼓励学生自主探究和合作交流,确保每位学生都能在课堂上有所收获。
同时,注重培养学生的情感态度价值观,使学生在学习过程中形成正确的价值观和积极的学习态度。
通过分解课程目标为具体的学习成果,为后续的教学设计和评估提供依据。
二、教学内容本节课依据课程目标,结合教材第四章《有趣的计数器》相关内容,组织以下教学大纲:1. 引言:介绍60进制计数器的基本概念,引导学生思考其在生活中的应用,如时间、角度等。
2. 知识讲解:a. 讲解60进制计数器与十进制的区别与联系。
b. 详细介绍60进制与十进制的转换方法。
c. 通过实例,展示60进制在时间、角度等方面的应用。
3. 实践操作:a. 学生独立完成60进制与十进制的转换练习。
b. 学生分组讨论,解决实际问题,如将时间、角度等转换为60进制表示。
4. 拓展延伸:a. 探讨60进制在生活中的其他应用,激发学生思考。
六十进制计数器
实验五考核实验——六十进制计数
一、实验目的
1、了解可编程数字系统设计的流程
2、掌握Quartus II 软件的使用方法
3、掌握Quartus II 软件的使用方法
4、掌握Quartus II 软件的使用方法
二、实验设备
1、计算机:Quartus II 软件
2、掌握Quartus II 软件的使用方法
3、集成电路:74LS161,任意与非门等。
三、实验原理
1、74LS161:异步清零、同步置数四位二进制计数器
2、引脚定义
3、74LS161功能表
四、实验内容
1、实现60进制计数,计数器用74LS161(2片),其它器件任选
2、七段码显示00、01、02、03 、…、57、58、59
要求:
(1)用原理图输入方式完成
(2)给出仿真波形
(3)计数脉冲CLK接BUTTON0,计数结果接7段码HEX1和HEX0显示
五、实验结果
1、实验原理图:
2、实验波形仿真图
3、引脚分配图
六、实验心得
1、同步异步计数器区分:同步计数器的触发信号是同一个信号。
具体来说,每一级的触发器接的都是同一个CLK信号。
异步计数器的触发信号时不同的,例如第一集的输出Q'作为第二级的触发信号。
几进制的区分:看数据输出端得接线方法,当接线满足拿个计数时会导致“清零”端或者是“置数端”满足工作状态。
2、异步计数器中第二级如果采用置数法,就需要置数的时候给该级提供相应的时钟信号,否则不能完成置数。
EDA 六十进制计数器的设计
一、实验目的1.进一步掌握VHDL语言中元件例化语句的使用2.通过本实验,巩固利用VHDL语言进行EDA设计的流程二、实验原理1.先分别设计一个六进制和十进制的计数器,并生成符号文件2.然后设计顶层文件三、实验步骤(略)四、实验结果六进制计数器源程序cnt6.vhd:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE. STD_LOGIC_UNSIGNED.ALL;ENTITY CNT6 ISPORT (CLK, CLRN, ENA, LDN: IN STD_LOGIC;D: IN STD_LOGIC_VECTOR(3 DOWNTO 0);Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT: OUT STD_LOGIC);END CNT6;ARCHITECTURE ONE OF CNT6 ISSIGNAL CI: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"; BEGINPROCESS(CLK, CLRN, ENA, LDN)BEGINIF CLRN='0' THEN CI<="0000";ELSIF CLK'EVENT AND CLK='1' THENIF LDN='0' THEN CI<=D;ELSIF ENA='1' THENIF CI<5 THEN CI<=CI+1;ELSE CI<="0000";END IF;END IF;END IF;Q<=CI;END PROCESS;COUT<= (CI(0) AND CI(2));END ONE;十进制计数器源程序cnt10.vhd:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE. STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT (CLK, CLRN, ENA, LDN: IN STD_LOGIC;D: IN STD_LOGIC_VECTOR(3 DOWNTO 0);Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT: OUT STD_LOGIC);END CNT10;ARCHITECTURE ONE OF CNT10 ISSIGNAL CI: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"; BEGINPROCESS(CLK, CLRN, ENA, LDN)BEGINIF CLRN='0' THEN CI<="0000";ELSIF CLK'EVENT AND CLK='1' THENIF LDN='0' THEN CI<=D;ELSIF ENA='1' THENIF CI<9 THEN CI<=CI+1;ELSE CI<="0000";END IF;END IF;END IF;Q<=CI;END PROCESS;COUT<= CI(0) AND CI(3);END ONE;设计两输入端与门元件:将要使用的元件包装入库:使用元件例化语句设计的六十进制计数器源程序cnt60top.vhd:六十进制计数器的顶层文件原理图CNT60TOP.gdf。
60进制计数器设计
级联。
4)两个芯片间的级联。
2.六十进制计数器设计描述2.1设计的思路1)芯片介绍:74LS192 为加减可逆十进制计数器,CPU端是加计数器时钟信号,CPD是减计数时钟信号RD=1时无论时钟脉冲状态如何,直接完成清零功能。
RD=0,LD=0 时,无论时钟脉冲状态如何,输入信号将立即被送入计数器的输出端,完成预置数功能。
2)十进制可逆计数器74LS192引脚图管脚及功能表3)74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下所示:图5-4 74LS192的引脚排列及逻辑符号(a)引脚排列(b) 逻辑符号图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。
输入输出MR P3 P2 P1 P0 Q3 Q2 Q1 Q01 ×××××××0 0 0 00 0××d c b a d c b a0 11××××加计数1 1 ××××减计数4)利用两片74ls192分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个芯片连接构成十进制计数器,另一个通过一个与门器件构成一个六进制计数器。
5)如下图:2.2设计的实现1)两芯片之间级联;把作高位芯片的进位端与下一级up端连接这是由两片74LS192连接而成的60进制计数器,低位是连接成为一个十进制计数器,它的clk端接的是低位的进位脉冲。
高位接成了六进制计数器。
当输出端为0101 的时候在下个时钟的上升沿把数据置数成0000 这样就形成了进制计数器,连个级联就成为了60进制计数器,分别可以作为秒和分记时。
2)方案的实现:使用200HZ时钟信号作为计数器的时钟脉冲。
数 字 电 子 技 术(六十进制计数器制作)
数字电子技术仿真实验报告学院:计算机科学学院班级:信科10级01班学号: ***********姓名:第一章设计方案论证1.1、选择论证的基本过程1)每隔1s,计数器增1;能以数字形式显示时间。
2)当定时器递增到59时,定时器会自动返回到00显示,然后继续计时。
整个计数过程中,LED1即发光灯会显示进位信号。
3)本设计主要设备是两个74LS160同步十进制计数器,并且由300HZ,5V 电源供给。
1.2、设计方案框图使用300HZ555定时器作为计数器的输入信号。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
此电路可以作为简易数字时钟的分钟显示。
图1.2为60进制计数器的总体框图。
图1.2 系统总体框图第二章单元电路设计2.1、十进制计数器(个位)电路本电路采用74LS160作为十进制计数器,它是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。
功能如表2-1表2-1 十进制计数器功能表CP RD` LD` EP ET 工作状态×0 ××置零↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持↑ 1 1 1 1 计数连接方式如图2.1图2.1 十进制计数器(个位)2.2、十进制计数器(十位)电路图2.2 十进制计数器(十位)2.3、555定时器555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。
因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。
图2.3为用555定时器设计的多谐振荡器的电路图及其电路产生的波形。
图2.3 多谐振荡器由多谐振荡器原理,结合上图可知其振荡周期T=T 1+T 2。
T 1为电容充电时间,T 2为电容放电时间。
60进位计数器课程设计
60进位计数器课程设计一、教学目标本课程旨在通过60进位计数器的学习,让学生掌握进位计数的基本原理和操作方法,培养学生的逻辑思维能力和动手操作能力。
具体目标如下:1.了解60进位计数器的结构和工作原理。
2.掌握60进位计数器的操作方法。
3.理解进位计数的基本概念和应用。
4.能够独立操作60进位计数器。
5.能够进行简单的进位计数运算。
6.能够运用60进位计数器解决实际问题。
情感态度价值观目标:1.培养学生的团队合作意识和动手操作兴趣。
2.培养学生对数学和科学的热爱和好奇心。
3.培养学生解决问题的自信心和自主学习能力。
二、教学内容本课程的教学内容主要包括60进位计数器的结构和工作原理、操作方法以及应用。
具体安排如下:1.60进位计数器的结构和工作原理:介绍60进位计数器的各个部分及其功能,解释其工作原理。
2.60进位计数器的操作方法:讲解如何进行数字的输入、显示和清除,如何进行进位和借位的操作。
3.进位计数的基本概念和应用:介绍进位计数的基本概念,如十进制、二进制等,并展示其在实际问题中的应用。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法,如讲授法、讨论法、案例分析法和实验法等。
1.讲授法:通过教师的讲解,让学生了解60进位计数器的结构和工作原理,掌握进位计数的基本概念和应用。
2.讨论法:通过小组讨论,培养学生的团队合作意识和解决问题的能力。
3.案例分析法:通过分析实际问题,让学生学会运用60进位计数器解决实际问题。
4.实验法:通过动手操作60进位计数器,培养学生的动手操作能力和实践能力。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将选择和准备以下教学资源:1.教材:选用《60进位计数器操作指南》作为主要教材,介绍60进位计数器的结构、操作方法和应用。
2.参考书:提供相关的参考书籍,供学生深入学习和拓展知识。
3.多媒体资料:制作PPT、视频等多媒体资料,生动展示60进位计数器的操作过程和应用实例。
60进位计数器课程设计
60进位计数器课程设计一、课程目标知识目标:1. 学生能理解60进位计数器的基本原理,掌握60进制的数制转换方法。
2. 学生能运用60进位计数器进行简单的加、减运算,并解决实际问题。
3. 学生了解60进位计数器在日常生活中的应用,如时间的计算等。
技能目标:1. 学生能够独立操作60进位计数器,进行数制转换和基本运算。
2. 学生能够运用所学知识解决涉及60进位计数器的实际问题,提高解决问题的能力。
3. 学生通过小组合作,培养团队协作和沟通能力。
情感态度价值观目标:1. 学生培养对数学学习的兴趣,激发探究精神。
2. 学生在学习过程中,树立正确的价值观,认识到数学知识在生活中的重要性。
3. 学生通过克服困难,增强自信心,培养积极向上的学习态度。
课程性质:本课程为数学学科教学,结合学生年级特点,注重知识性与实践性的结合。
学生特点:学生处于小学高年级阶段,具备一定的数学基础,好奇心强,喜欢动手操作。
教学要求:教师需关注学生的个别差异,创设有趣的教学情境,引导学生主动参与,提高学生的动手操作能力和解决问题的能力。
在教学过程中,注重知识点的讲解与实际应用的结合,使学生在掌握知识的同时,提高综合素养。
通过分解课程目标为具体的学习成果,便于后续教学设计和评估。
二、教学内容本节教学内容以《数学》课本中关于计数器及其数制转换的相关章节为基础,结合课程目标,组织以下内容:1. 60进位计数器的基本原理:介绍60进位计数器的起源,引导学生了解其发展过程,理解60进制的数制特点。
2. 数制转换方法:讲解60进制与10进制之间的转换方法,通过实例演示,帮助学生掌握转换技巧。
3. 60进位计数器的运算:教授60进位计数器进行加、减运算的方法,并通过实际操作,让学生学会运用计数器解决简单问题。
4. 60进位计数器在日常生活中的应用:以时间为背景,介绍60进位计数器在时间计算等方面的应用,提高学生学以致用的能力。
教学内容安排和进度:第一课时:60进位计数器的基本原理,数制转换方法。
数电课程设计(60进制计数器设计)
目录摘要: (2)1设计题目 (2)1.1设计要求 (2)2题目分析 (2)3设计思路与原理 (3)3.1 LED简介 (3)3.2 芯片74290及六十进制计数器的设计 (4)3.3 三十九进制计数器 (6)4电路图的仿真 (7)4.1六十进制计数器的仿真 (7)4.2三十九进制计数器的仿真 (8)5仪器列表 (9)6心得体会 (9)7参考文献 (10)摘要:要获得N进制计数器,常用的方法有两种:一是用时钟触发器和门电路来设计:二是用集成计数器来构成。
当要得到一些进制数大的计数器时,用时钟触发器和门电路来实现就显的很复杂。
我们就可以用集成计数器来构成,当然集成计数器是厂家已定型的产品,其函数关系已被固化在芯片中,状态分配以及编码我们自己是不可以更改的,而且多为纯自然态序编码,因而利用清零端或置数控制端,让电路跳过某些状态而获得N进制的计数器。
1设计题目60进制计数器的设计1.1设计要求(1)要求学生掌握74系列的芯片和LED的原理和使用方法。
(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。
1.2设计任务(1)完成一个60进制的计数器。
(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。
59显示后,又从00重新开始计数。
2题目分析要实现60进制的计数器,单用一片计数器无法实现,我们可以利用级联方式获得大容量的N进制计数器,60进制的计数器就可以由六进制和十进制计数器级联起来构成。
CP 3设计思路与原理 3.1 LED 简介LED 是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。
七段发光管分别称为a 、b 、c 、d 、e 、f ,g ,构成字型“8”,如图(a )所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。
不加电压则变暗,为了保护各段LED 不被损坏,需外加限流电阻。
信号源 计数器数码显示器十进制计数器(个位)六进制计数器(十位)其真值表如下。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《数字电子技术基础》课程设计任务书
专业:16电气工程及其自动化
班级:专升本二班
学号:160732060
姓名:王冬
指导教师:耿素军
二零一六年十二月二十七日
目录
1、计数器的概述 (3)
2、六十进制计数器 (4)
2.1设计要求 (4)
2.2设计方案框架图 (4)
3、六十进制计数器设计描述 (5)
3.1设计的思路 (5)
3.2设计的实现 (7)
4、六十进制计数器的仿真设计与仿真的结果 (10)
4.1基本电路分析仿真设计 (11)
4.2 计数器电路的仿真的结果 (12)
5、心得体会 (13)
6、参考文献 (13)
1、计数器概述
计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。
计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。
在数字电子技术中应用的最多的时序逻辑电路。
计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。
但是并无法显示计算结果,一般都是要通过外接LCD或LED屏才能显示。
计数器的种类
1.按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。
2.按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。
2、六十进制计数器
2.1设计要求
1)频率为1HZ(相当于1秒钟计数一次)
2)在计数到60次时,以一种方式提示
3)有复位,暂停等功能
4)画出并实现自己设计的电路或仿真电路
5)写出设计报告。
(1)每隔99s,计数器增1;能以数字形式显示时间。
(2)当定时器递增到59时,定时器会自动返回到00显示,然后继续计时。
整个计数过程中,X1即发光灯会显示进位信号。
(3)本设计主要芯片是两个74LS162同步十进制计数器,并且由
100HZ,5V电源供给。
2.2方案框架图
使用1HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
此电路可以作为简易数字时钟的分钟显示。
下图为60进制计数器的总体框图。
⑴六十进制计数器(个位)电路
本电路采用74LS162作为十进制计数器,它是一个具有同步清零、同步置数、可以保持状态不变的十进制上升沿计数器。
功能表如下
连接方式如图1 :
图
1.
⑵六十进制计数器(十位)电路连接方法如图2 :
右图符号代表是与非门。
图2.
⑶时钟脉冲电路(右上图)
⑷置数电路(左下图)接六十进制计数器(个位)脉冲输入
⑸译码显示电路如下图所示:
3.2设计的实现
⑴绘制原理图
⑵选定器件列表
仪器名称型号数量用途同步十进制计
数器74LS162 2片
极联构成60进
制计数器
与非门与非门非门74LS20D
74LS00D
74LS04D
各1个
辅助设计构成其
他计数器
①与非门:74LS20D 两个4输入与非门,内含两组4与非门74LS20D芯片管脚:第一组:1,2,4,5输入6输出。
第二组:9,10,12,13输入8输出
74LS00D 芯片管脚:第一组:1,2输入3输出。
第二组:3、4输入6输出。
第三组:9、10输入8输出。
第四组:12、13输入11输出。
74LS20D。
②与非门:74LS00D 四个2输入与非门内含四组2与非门
74LS00D
③非门:74LS04D 芯片含6个非门
4、六十进制计数器的仿真设计与仿真的结果
4.1基本电路分析仿真设计:60进制计数器是由六进制计数器(十
位)和十进制计数器(个位)组成
⑴用74LS162D等芯片组成十进制计数器把十进制计数器当
成六十进制计数器的个位计数器,并且用译码显示管显现出来(如图下图所示)
⑵用74LS160D等芯片组成六进制器计数器把六进制计数器当
成六十进制计数器的十位计数器,并且用译码显示管显示出来
4.2 计数器电路的仿真的结果
以下两个仿真结果分别是计数器计数的仿真起点00和仿真终点59,之后计数器会自动恢复原来的00起点继续进行循
环计数,并且进位输出灯X1会在59时发光。
计数器的状态转换图如下
5、总结
5.1心得体会
本设计原理简单,结构清晰,较为容易仿真成功。
从本次课程设计中使我获益匪浅,首先使我对数电这门课程有了更深的体会,通过对60进制计数器的设计使我将以前所学的理论知识运用到实际中去,使用Multisim软件进行仿真,使我找到了很多以前没有完全理解的知识,通过再次查找资料,我又学会了很多。
本次课程设计也反映出很多问题,比如竞争—冒险现象是很常见的,并且消除此现象并不是很容易,尤其是对结构复杂的电路而言,往往消除了一处竞争—冒险现象,又产生了另一处,此问题需要我以后多加注意。
6、参考文献
[1]彭介华. 电子技术课程设计指导[M]. :高等教育出版社,2002.6:23—42
[2]王传新. 电子技术基础——分析、调试、综合设计[M]. :高等教
育出版社,2006.1:270—275
[3]阎石. 数字电子技术基础[M].第5版.:清华大学出版社,2006.5:278—311
[4]康华光.电子技术基础:数字部分[M].第4版.:高等教育出版社,2000。