计算机逻辑基础实验报告

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

南京理工大学

《计算机逻辑基础》上机报告

课程:计算器逻辑基础

学院:计算机科学与工程学院

班级:9131065802

学好:913106840549

姓名:阿力木江·阿地力

实验报告(一):译码器的设计及应用实验

一.实验目的:

学习译码器的设计方法及应用。

二.实验内容:

①实验内容概述:

(1) 用门电路设计一个全加器。要求先用门电路设计一个半加器,然后

用半加器构成一个全加器。

(2)利用全加器集成电路7483或74283及其它门电路设计一个电路:

①四位二进制加法或减法器;

②8421BCD码加法器;

③将8421码转换为余3码

②所用器件功能简述:

74138: 2个3-8译码器

74283: 2个3-8译码器

③电路原理图:

三.实验结果:

功能仿真波形:

实验报告(二):加法器的设计及应用实验

一.实验目的:

学习加法器的设计及全加器的应用。

二.实验内容:

①实验内容概述:

利用2-4译码器或3-8译码器或七段译码器及其它门电路设计一个电路:(1)用2-4译码器构成3-8译码器。要求用VHDL生成2-4译码器件;(2)用2片3-8译码器构成4-16译码器。要求用VHDL生成3-8译码器(3)用1片七段译码器来驱动一个数码管显示“0-9”数字。要求用VHDL 生成七段译码器件。

所用器件功能简述:

74138: 四位二进制全加器

74157: 四2选1数据选择器

④电路原理图:

⑥功能:当S=0 实现A+B 当S=1 实现A-B

三.实验要求:

(1)根据教材及有关资料,复习有关译码器的内容,了解74139、

74138、7448的功能;

(2)用相应的VHDL程序生成相关器件,画出相应内容的电路

图;

(3)做出相应电路的功能仿真;

(4)将相应电路下载到实验箱上验证。

四.实验结果:

功能仿真波形:

五.实验代码:

library ieee;

use ieee.std_logic_1164.all;

entity cddm is

port(a1,b1,g1:in std_logic;

s:out std_logic_vector(3 downto 0)); end cddm;

architecture dec of cddm is

signal indata:std_logic_vector(1 downto 0);

begin

indata<=b1&a1;

process(indata,g1)

begin

if(g1='0')then

case indata is

when "00"=>s<="1110";

when "01"=>s<="1101";

when "10"=>s<="1011";

when "11"=>s<="0111";

when others=>s<="1111";

end case;

else

s<="1111";

end if;

end process;

end dec;

实验报告(三):分频器的设计及应用实验

一.实验目的:

学习触发器的应用及分频器的设计方法。

二.实验内容:

①实验内容概述:

利用触发器及其他门电路设计一个电路:将10MHz的时钟信号分频为1Hz的信号.(提示:可以先用五个TFF或者DFF触发器构成一个10分频器的电路图,然后用这个10分频器产生1MHz,100KHz,10KHz,1KHz,100Hz,10Hz,1Hz的信号.)

实验要求:

(1)根据教材及有关资料,复习有关触发器的内容,了解分频器的设计方法;(2)用原理图或VHDL语言,先设计生成一个整数分频电路(如10分频),再用原理图画出产生1Hz,10Hz的电路图;

(3)做出相关电路的功能仿真(1MHz、100KHz、10KHz、1KHz、100Hz的波形);(4)将相关电路下载到实验箱上验证(验证10HZ、1HZ)。

②所用器件功能简述:

TFF:T触发器

③电路原理图:

三.所用代码:

ibrary ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpinqi is

port(clk :in std_logic;

finout :out std_logic);

end fenpinqi;

architecture bhv of fenpinqi is

signal tmp:std_logic_vector(3 downto 0);

begin

process (clk)

begin

if clk'event and clk='1' then

if tmp=9 then tmp<="0000";

else tmp<=tmp+1;

end if;

if tmp<5 then finout <='0';

else finout<='1';

end if;

end if;

end process;

end bhv;

四.实验结果:

功能仿真波形:

相关文档
最新文档