集成电路工艺设计原理试题(卷)总体答案解析

集成电路工艺设计原理试题(卷)总体答案解析
集成电路工艺设计原理试题(卷)总体答案解析

目录

一、填空题(每空1分,共24分) (1)

二、判断题(每小题1.5分,共9分) (2)

三、简答题(每小题4分,共28分) (3)

四、计算题(每小题5分,共10分) (5)

五、综合题(共9分) (6)

一、填空题(每空1分,共24分)

1.制作电阻分压器共需要三次光刻,分别是电阻薄膜层光刻、高层绝缘层光刻和互连金属层光刻。

2.集成电路制作工艺大体上可以分成三类,包括图形转化技术、薄膜制备技术、掺杂技术。

3.晶体中的缺陷包括点缺陷、线缺陷、面缺陷、体缺陷等四种。

4.高纯硅制备过程为氧化硅→粗硅→低纯四氯化硅→高纯四氯化硅→高纯硅。

5.直拉法单晶生长过程包括下种、收颈、放肩、等径生长、收尾等步骤。

6.提拉出合格的单晶硅棒后,还要经过切片、研磨、抛光等工序过程方可制备出符合集成电路制造要求的硅衬底

片。

7.常规的硅材料抛光方式有:机械抛光,化学抛光,机械化学抛光等。

8.热氧化制备SiO2的方法可分为四种,包括干氧氧化、水蒸汽氧化、湿氧氧化、氢氧合成氧化。

9.硅平面工艺中高温氧化生成的非本征无定性二氧化硅对硼、磷、砷(As)、锑(Sb)等元素具有掩蔽作用。

10.在SiO2和Si- SiO2界面存在有可动离子电荷、氧化层固定电荷、界面陷阱电荷、氧化层陷阱等电荷。

11.制备SiO2的方法有溅射法、真空蒸发法、阳极氧化法、热氧化法、热分解淀积法等。

12.常规平面工艺扩散工序中的恒定表面源扩散过程中,杂质在体满足余误差函数分布。常规平面工艺扩散工序中的有限表面

源扩散过程中,杂质在体满足高斯分布函数分布。

13.离子注入在衬底中产生的损伤主要有点缺陷、非晶区、非晶层等三种。

14.离子注入系统结构一般包括离子源、磁分析器、加速管、聚焦和扫描系统、靶室等部分。

15.真空蒸发的蒸发源有电阻加热源、电子束加热源、激光加热源、高频感应加热蒸发源等。

16.真空蒸发设备由三大部分组成,分别是真空系统、蒸发系统、基板及加热系统。

17.自持放电的形式有辉光放电、弧光放电、电晕放电、火花放电。

18.离子对物体表面轰击时可能发生的物理过程有反射、产生二次电子、溅射、注入。

19.溅射镀膜方法有直流溅射、射频溅射、偏压溅射、磁控溅射(反应溅射、离子束溅射)等。

20.常用的溅射镀膜气体是氩气(Ar),射频溅射镀膜的射频频率是13.56MHz。

21.CVD过程中化学反应所需的激活能来源有?热能、等离子体、光能等。

22.根据向衬底输送原子的方式可以把外延分为:气相外延、液相外延、固相外延。

23.硅气相外延的硅源有四氯化硅(SiCl4)、三氯硅烷(SiHCl3)、二氯硅烷(SiH2Cl2)、硅烷(SiH4)等。

24.特大规模集成电路(ULIC)对光刻的基本要求包括高分辨率、高灵敏度的光刻胶、低缺陷、精密的套刻对准、对大尺寸硅片

的加工等五个方面。

25.常规硅集成电路平面制造工艺中光刻工序包括的步骤有涂胶、前烘、曝光、显影、坚膜、腐蚀、

去胶等。

26.光刻中影响甩胶后光刻胶膜厚的因素有溶解度、温度、甩胶时间、转速。

27.控制湿法腐蚀的主要参数有腐蚀液浓度、腐蚀时间、腐蚀液温度、溶液的搅拌方式等。

28.湿法腐蚀Si所用溶液有硝酸-氢氟酸-醋酸(或水)混合液、KOH溶液等,腐蚀SiO2常用的腐蚀剂是HF溶液,腐蚀

Si3N4常用的腐蚀剂是磷酸。

29.湿法腐蚀的特点是选择比高、工艺简单、各向同性、线条宽度难以控制。

30.常规集成电路平面制造工艺主要由光刻、氧化、扩散、刻蚀、离子注入(外延、CVD、PVD)等

工艺手段组成。

31.设计与生产一种最简单的硅双极型PN结隔离结构的集成电路,需要埋层光刻、隔离光刻、基区光刻、发射区光刻、引线区

光刻、反刻铝电极等六次光刻。

32.集成电路中隔离技术有哪些类?

二、判断题(每小题1.5分,共9分)

1.连续固溶体可以是替位式固溶体,也可以是间隙式固溶体(×)

2.管芯在芯片表面上的位置安排应考虑材料的解理方向,而解理向的确定应根据定向切割硅锭时制作出的定位面为依据。(√)

3.当位错线与滑移矢量垂直时,这样的位错称为刃位错,如果位错线与滑移矢量平行,称为螺位错(√)

4.热氧化过程中是硅向二氧化硅外表面运动,在二氧化硅表面与氧化剂反应生成二氧化硅。(×)

5.热氧化生长的SiO2都是四面体结构,有桥键氧、非桥键氧,桥键氧越多结构越致密,SiO2中有离子键成份,氧空位表现为带

正电。(√)

6.SiO2中5价的网络形成者可使结构强度增加,而3价的网络形成者可使结构强度减小(√)

7.SiO2作为扩散掩蔽膜需要满足一定的厚度要求。(√)

8.硅热氧化形成SiO2体积将增加约1倍(√)

9.温度对氧化速率常数A、B都有影响,压强只影响氧化速率常数B。(√)

10.在常规热氧化工艺中干氧氧化的速度最快。(×)

11.半导体器件生产中所制备的二氧化硅薄膜属于无定形二氧化硅。(√)

12.二氧化硅膜能有效的对扩散杂质起掩蔽作用的基本条件是杂质在硅中的扩散系数大于在二氧化硅中的扩散系数。(√)

13.预淀积扩散是为了提供足够的杂质总量,而再分布扩散是为了达到需要的扩散深度并同时在硅的表面获得一定厚度的氧化层。

(√)

14.基区主扩散属于有限表面源扩散。(√)

15.杂质在硅晶体中的扩散机制主要有两种:间隙式扩散、替位式扩散。其中间隙式扩散比替位式扩散困难。(×)

16.替位式扩散就是替位杂质和邻近晶格位置上的原子互换。(×)

17.热扩散掺杂的工艺可以一步实现。(×)

18.离子注入工艺中被掺杂的材料称为靶,靶材料可以是晶体,也可以是非晶体,非晶靶也称为无定形靶。(√)

19.有限表面源扩散与离子注入的杂质分布都满足高斯函数,两种掺杂工艺杂质最高浓度位置都在硅片表面。(×)

20.离子注入工艺中入射离子能量低于临界能量时核阻止本领占主导,高于临界能量时电子阻止本领占主导(√)

21.蒸发镀膜中电阻加热源可分为直接加热源和间接加热源,其中直接加热源的加热体和待蒸发材料的载体为同一物体;而间接加

热源是把待蒸发材料放入坩埚中进行间接加热。(√)

22.蒸发镀膜中电阻加热源可分为直接加热源和间接加热源,其中间接加热源是把待蒸发材料放入坩埚中,对坩埚进行间接加热。

(√)

23.常用的溅射镀膜方法有直流溅射、射频溅射、磁控溅射,其中磁控溅射的气压最低、靶电流密度最高。(√)

24.CVD系统包括热壁式CVD系统和冷壁式CVD系统,在冷壁式CVD系统中侧壁温度与沉底温度相等。(×)

25.实现对CVD淀积多晶硅掺杂主要有三种工艺:扩散、离子注入、原位掺杂。由于原位掺杂比较简单,所以被广泛采用。(×)

26.LPCVD系统中淀积速率是受表面反应控制的,APCVD系统中淀积速率受质量输运控制(√)

27.相同掺杂浓度下,多晶硅的电阻率比单晶硅的电阻率高得多(√)

28.LPCVD多晶硅和氮化硅等薄膜易形成保形覆盖,在低温APCVD中,非保形覆盖一般比较常见。(√)

29.PSG高温回流需要的温度要比BPSG高(√)

30.通常称在低阻衬底材料上生长高阻外延层的工艺为正向外延,反之称为反向外延。(√)

31.在紫外光曝光、X射线曝光、电子束曝光技术中可实现直写式曝光不需要掩模版的是X射线曝光技术。(×)

32.制备光刻掩膜版,希望黑白区域间的过渡区越大越好。(×)

33.满足双极晶体管的正常工作,需要晶体管的基区非常薄,小于少子的扩散长度才可,这样使扩散远远大于复合。(√)

34.集成电路制造工艺中隔离扩散的深度可以不超过外延层的厚度。(×)

35.PN结隔离所依据的基本原理是利用PN结正向偏置时的高阻性。(×)

三、简答题(每小题4分,共28分)

1.金刚石晶格{111}双层密排面具有的性质。

2.为什么硅单晶在生长、化学腐蚀、解理、扩散速度等方面具有各向异性的特点?

3.画图说明硅晶体的原子排列情况。

4.固溶度。

5.饱和蒸气压。

6.硅片主、副定位面的主要作用。

7.硅片加工过程中倒角工序的作用。

8.、举例说明SiO2在IC中的作用?

9.热氧化过程经过哪几个步骤?

10.如图所示为在640Torr的水汽氧化时,(111)晶面硅和(100)晶面硅氧化层厚度与氧化时间、温度的关系,分析为何随着

温度升高、时间延长不同晶面硅的氧化层厚度差异减小。

11.实际制备较厚的SiO2薄膜时为何多采用干-湿-干相结合的方法?

12.氧化工艺中的杂质分凝现象。

13.扩散工艺。

14.常规高温扩散过程中的恒定表面源扩散与有限表面源扩散两扩散条件主要区别在哪里?

15.实际扩散工艺为何多采用两步扩散工艺来完成?

16.简述投射式气体浸没激光掺杂技术的原理。

17.离子注入。

18.离子注入技术的主要特点?

19.离子注入过程中轻离子和重离子引起的损伤有何不同?

20.热退火及其作用,常规退火有哪些缺点?

21.对比说明真空蒸发与溅射的特点。

22.真空蒸发法制备薄膜需要通过几个基本过程:

23.膜中电阻加热源对加热材料的要求有哪些?

24.制备薄膜与蒸发法相比突出的特点是什么?

25.自持放电的条件及物理意义。

26.等离子体:

27.射频辉光放电的特点:

28.溅射镀膜法:

29.溅射阈值

30.磁控溅射的特点:

31.为何任何处于等离子体中的物体相对于等离子体来讲都呈现出负电位,并且在物体的表面附近出现正电荷积累?

32.射频溅射中每个电极都可能因自偏压效应而受到离子轰击发生溅射,如何减小对衬底的轰击?

33.溅射率。

34.化学气相淀积(Chemical Vapor Deposition)CVD?

化学气相淀积,简称CVD,是集成电路工艺中用来制备薄膜的一种方法,这种方法是把含有薄膜元素的气态反应剂或者液态反应剂的蒸汽,以合理的流速引入反应室,在衬底表面发生化学反应并在衬底表面淀积薄膜

35.化学气相淀积过程的步骤?

36.边界层?

37.化学气相淀积的二氧化硅薄膜在ULSI中的应用:?

38.CVD化学反应必须满足的条件有哪些?

39.CVD系统通常包括哪些子系统?

(1)气态源或液态源,(2)气体输入管道,(3)气体流量控制系统,(4)反应室,(5)基座加热及控制系统(有些系统的反应复活能通过其他方法引入),(6)温度控制及测量系统等。

40.多晶硅薄膜的在集成电路制造中的应用有哪些?

41.CVD工艺中,何谓保形覆盖?

42.外延

指在单晶衬底(如硅片上)按衬底晶向生长单晶薄膜的工艺过程。

43.选择外延

指利用外延生长的基本原理,以及硅在绝缘体上很难核化成*的特性,在硅表面的特定区域生长外延层而其他区域部生长的技术44.SOS技术

通常也称在**衬底材料上生长高阻外延层的工艺为正向外延,反之称为反向外延,如果生长的外延层和衬底是同一种材料,那么这种工艺就叫做同质外延

外延生长的薄膜材料与沉底材料不同,或者说生长化学组分,甚至物理结构与地完全不同的外延层,相应工艺就叫做异质外延

45.分子束外延

是一种在超高真空下的蒸发技术,是利用蒸发源提供的定向分子束或原子束撞击到清洁的衬底表面上生成外延层的工艺过程

46.硅外延工艺中减小自掺杂效应的方法有哪些?

47.光刻。

48.ULSI对图形转移的要求有哪些?

49.光学光刻中的驻波效应是如何形成的?对光刻有何影响?如何消除?

50.对比说明正、负光刻胶的差别?

51.光刻显影后显微镜检查的容有哪些?

52.光刻工艺中前烘的目的是什么?

53.X射线光刻中为何X射线波长选择在2-40?围?

54.紫外曝光的方法有哪些?说明各自特点。

55.光刻工艺中对掩膜版的要求有哪些?

56.铬版有哪些特点

57.画图说明铬掩模版基本结构;

58.腐蚀工艺中的选择比。

59.湿法腐蚀的特点。

60.什么是干法刻蚀?

61.何谓反应离子刻蚀?

62.何谓集成电路?

四、计算题(每小题5分,共10分)

1、已知硅晶体晶格常数为a,根据硅晶体结构的特点,求硅晶体中原子密度、最小原子间距、空间利用率、<111>晶向原子线密度、(110)晶面原子面密度。

2、已知N型Si衬底N B=1015cm-3,硼预扩散温度为1000℃,D=2×10-14cm2/s,时间为20min,Ns=4×1020cm-3,由N S/N B求得A=6.5,求结深为多少微米?(保留两位有效数字)

3、已知N型Si衬底N B=2×1015cm-3,硼预扩散温度为1200℃,D=3×10-13cm2/s,时间为2小时,Ns=6.4×1019cm-3,

erfc -1(3.125×10-5)=2.9,求结深为多少微米?(保留两位有效数字)

4、某集成电路采用的n 型外延层衬底浓度为N B =2×1016cm -3,晶体管基区硼预淀积的温度为950℃,时间为10min ,Ns 1=4×1020cm -3,D 1=5×10-15cm 2/s ,erfc -1(5×10-5)=2.89,再分布的温度为1180℃,时间为50min ,D 2=1×10-12cm 2/s ,试求再分布后的结深为多少微米?(保留三位有效数字)

5、某硅晶体管基区硼预淀积的温度为950℃,衬底3

1610-=cm N B ,要求预淀积后的方块电阻为80Ω/□,试确定预淀积所需要的

时间为多少min(答案只保留整数)。已知1)(660-?Ω=cm σ,320104-?=cm N s ,erfc -1(2.5×10-5)=2.95,s cm D /105215-?=。 6、已知n 型硅外延层的电阻率为cm ?Ω5.0,现在用硼离子注入法形成基区,其能量为80kev ,若注入剂量为1.5×1015cm-2,试求注入离子的平均浓度为多少。已知平均投影射程为308.1nm ,投影射程的标准偏差为88.9nm ,衬底掺杂浓度为1016cm -3,注入离子浓度与深度的关系式为???

????????? ???--?=221exp 2)(p p p s R R x R N x n π。(保留三位有效数字) 7、已知n 型硅外延层的电阻率为cm ?Ω5.0,现在用硼离子注入法形成基区,其能量为80kev ,若注入剂量为N s =1.5×1015cm -2,试求结深为多少。已知R p =308.1nm ,?R p =88.9nm ,N B =1016cm -3。(保留三位有效数字)

五、综合题(共9分)

1、 N 阱CMOS 工艺流程如下,根据流程简要回答后面问题。(15分)

CMOS 工艺流程:1.初始氧化;2.光刻1,刻N 阱窗口;3.离子注入形成N 阱;4. LPCVD 淀积SiN ;5.光刻2,保留NMOS 有源区不动,场区硼离子注入;6.场区氧化1;7.光刻3,N 阱中非有源区磷离子注入;8. 场区氧化2;9.HCl 气氛中干氧生成栅氧化层及调整开启电压;10.多晶硅淀积;11.光刻4,NMOS 源、漏形成;12.光刻5 ,PMOS 源、漏形成;13.PSG 淀积;14.光刻6形成金属化接触孔;15.蒸铝、刻铝、合金、钝化、开压焊孔。

问题:

1.工艺1中氧化生成的SiO2为何能作为扩散的掩蔽膜?(2分)

2.每一步光刻的基本流程?(2分)

3.工艺3中注入的是什么离子?(1分)

4.何谓有源区、场区?(2分)

5.工艺5、6、7、8中的场区氧化及离子注入的作用,为何能具有此作用?(3分)

6.工艺9中为何要在HCl 气氛中干氧生成SiO2?(2分)

7.工艺10中的多晶硅有何作用,与铝相比有何优点?(3分)

8. 下图分别为N 阱CMOS 结构图和等效电路图,请在结构图中标出等效电路图中的V I 、V O 、V DD 三点位置(3分)

答:

1. 相同条件下,需扩散的杂质在SiO2中的扩散系数远小于硅中,所以扩散速度远小于在硅中的扩散速度。

2. 涂胶、前烘、曝光、显影、坚膜、腐蚀、去胶等。

3. P(磷)、As(砷)

4. 有源区为器件形成区域,即MOS管的源、漏、栅极所在的区域,场区为器件之间的隔离区,区域无器件,是MOS管的源、漏、栅极以外的区域。

5. MOS集成电路中的隔离主要是防止形成寄生的导电沟道,防止场区的寄生场效应晶体管开启。方法之一就是提高寄生场效应管的阈值电压,使其高于集成电路的工作电压,提高阈值电压的方法有两个,一是增加场区二氧化硅层的厚度,二是增大氧化层下沟道的掺杂浓度,形成沟道阻止层

6. 栅氧化层是MOS管的一部分,其质量直接影响器件性能,在HCl气氛中干氧生成的SiO2结构致密,缺陷少,界面态低,减少了可动离子。提高了器件性能。

7. 多晶硅作为栅极材料,与铝相比,多晶硅栅较好的解决了电迁移现象,同时多晶硅栅使工艺简化,即自对准技术。在多晶硅栅的掩蔽下自对准地进行源漏区杂质注入,同时完成多晶硅栅的杂质注入。

2、标准埋层双极晶体管工艺流程如下,根据流程简要回答后面问题。(20分)

(1) 衬底制备。选用P型轻掺杂的硅片,<111>晶向,电阻率3-13欧·厘米。(2) 隐埋氧化。生成厚度约为1微米的氧化膜。(3)隐埋光刻。刻蚀出隐埋扩散窗口。(4)隐埋扩散。扩N型杂质,目的是减小集电极串联电阻,扩散后用HF酸腐蚀掉全部氧化层。

(5)外延。生长N型外延层;厚度约6-8微米。(6)隔离氧化。厚度8000埃,作为隔离扩散掩膜。(7)光刻隔离区。(8)隔离扩散。浓硼扩散,要求穿透外延层,扩散后用HF酸腐蚀掉硼硅玻璃。(9)蒸金。衬底蒸金,这是逻辑电路要求的工艺,目的是提高电路的开关速度。(10)基区氧化。(11)光刻基区。(12)基区扩赛。扩硼,预淀积加再分布两步完成,结深2微米。再生成4000埃氧化层。

(13)光刻发射区。

(14)发射区磷扩散。扩磷,在收集极引线孔位置形成N型重掺杂区,以制作欧姆接触电极,基区宽度约为0.3微米。(15)光刻引线孔。(16)蒸铝。(17)刻铝。刻蚀铝膜,形成互连导线及压焊块。合金。在硅铝间实现欧姆接触。

集成电路设计基础作业题解答

第五次作业 、改正图题所示TTL 电路的错误。 如下图所示: 解答: (a)、B A B A Y ??=?=0,A,B 与非输出接基极,Q 的发射极接地。从逻辑上把Q 管看作单管禁止门便可得到B A Y ?=。逻辑没有错误! 若按照题干中所示接法,当TTL 与非门输出高电平时,晶体管Q 的发射结要承受高压,必然产生巨大的电流。为了不出现这种情况,可以在基极加一电阻或者在发射极加一二极管。但发射极加二极管后会抬高输出的低电平电压。所以只能在基极加一大电阻,实现分压作用。 另外一种方法是采用题(a )图中的A 输入单元结构。 & & ≥1 1 1

(b)、要实现由,我们可以使用线与+得到和B A B A 。但题干中的线与功能不合理。若其中一个为高电平且另外一个为低电平时,高电平输出降会往低电平输出灌电流,从而容易引起逻辑电平混乱。为了消除这一效应,可以在各自的输出加一个二极管。 (c)、电阻不应该接地,应该接高电平 (d)、电阻不应该接VCC ,而应该接低电平 、试分析图题(a ),(b)所示电路的逻辑功能。 解答: 图(a )中,单元1实现了A 的电平输入,B 是A 的对称单元。 功能单元2实现了A 和B 输入的或逻辑 功能单元4充当了Q8管的泄放网络,同时抬高了Q3,Q4管的输入逻辑电平,另外该单元还将或的结果传递给了Q8管 功能单元3中的Q8管实现了非逻辑,Q6和Q7复合管加强了输出级的驱动能力。 综上所述,(a )电路实现功能为B A Y +=,即或非的功能 图(b)中,Q1,Q2管依然实现传递输入的功能,Q3,Q4管实现或非的功能 Q6管和Q5管以及R5,R7共同组成的泄放网络实现了电压的传递 Q9管实现了非功能,Q7,Q8管依然是用来驱动负载的。Q9管和Q7,Q8轮流导通 综上所述,(b )实现的功能为B A B A Y +=+=

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

《半导体集成电路》考试题目及参考答案

第一部分考试试题 第0章绪论 1.什么叫半导体集成电路? 2.按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写? 3.按照器件类型分,半导体集成电路分为哪几类? 4.按电路功能或信号类型分,半导体集成电路分为哪几类? 5.什么是特征尺寸?它对集成电路工艺有何影响? 6.名词解释:集成度、wafer size、die size、摩尔定律? 第1章集成电路的基本制造工艺 1.四层三结的结构的双极型晶体管中隐埋层的作用? 2.在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响?。 3.简单叙述一下pn结隔离的NPN晶体管的光刻步骤? 4.简述硅栅p阱CMOS的光刻步骤? 5.以p阱CMOS工艺为基础的BiCMOS的有哪些不足? 6.以N阱CMOS工艺为基础的BiCMOS的有哪些优缺点?并请提出改进方法。 7. 请画出NPN晶体管的版图,并且标注各层掺杂区域类型。 8.请画出CMOS反相器的版图,并标注各层掺杂类型和输入输出端子。 第2章集成电路中的晶体管及其寄生效应 1.简述集成双极晶体管的有源寄生效应在其各工作区能否忽略?。 2.什么是集成双极晶体管的无源寄生效应? 3. 什么是MOS晶体管的有源寄生效应? 4. 什么是MOS晶体管的闩锁效应,其对晶体管有什么影响? 5. 消除“Latch-up”效应的方法? 6.如何解决MOS器件的场区寄生MOSFET效应? 7. 如何解决MOS器件中的寄生双极晶体管效应? 第3章集成电路中的无源元件 1.双极性集成电路中最常用的电阻器和MOS集成电路中常用的电阻都有哪些? 2.集成电路中常用的电容有哪些。 3. 为什么基区薄层电阻需要修正。 4. 为什么新的工艺中要用铜布线取代铝布线。 5. 运用基区扩散电阻,设计一个方块电阻200欧,阻值为1K的电阻,已知耗散功率为20W/c㎡,该电阻上的压降为5V,设计此电阻。 第4章TTL电路 1.名词解释

集成电路制造技术-原理与工艺 课后习题答案

第一单元: 3.比较硅单晶锭CZ,MCZ和FZ三种生长方法的优缺点。 答:CZ直拉法工艺成熟,可拉出大直径硅棒,是目前采用最多的硅棒生产方法。但直拉法中会使用到坩埚,而坩埚的使用会带来污染。同时在坩埚中,会有自然对流存在,导致生长条纹和氧的引入。直拉法生长多是采用液相掺杂,受杂质分凝、杂质蒸发,以及坩埚污染影响大,因此,直拉法生长的单晶硅掺杂浓度的均匀性较差。 MCZ磁控直拉法,在CZ法单晶炉上加一强磁场,高传导熔体硅的流动因切割磁力线而产生洛仑兹力,这相当于增强了熔体的粘性,熔体对流受阻。能生长无氧、均匀好的大直径单晶硅棒。设备较直拉法设备复杂得多,造价也高得多,强磁场的存在使得生产成本也大幅提高。 FZ悬浮区熔法,多晶与单晶均由夹具夹着,由高频加热器产生一悬浮的溶区,多晶硅连续通过熔区熔融,在熔区与单晶接触的界面处生长单晶。与直拉法相比,去掉了坩埚,没有坩埚的污染,因此能生长出无氧的,纯度更高的单晶硅棒。 6.硅气相外延工艺采用的衬底不是准确的晶向,通常偏离[100]或[111]等晶向一个小角度,为什么? 答:在外延生长过程中,外延气体进入反应器,气体中的反应剂气相输运到衬底,在高温衬底上发生化学反应,生成的外延物质沿着衬底晶向规则地排列,生长出外延层。 气相外延是由外延气体的气相质量传递和表面外延两个过程完成的。表面外延过程实质上包含了吸附、分解、迁移、解吸这几个环节,表面过程表明外延生长是横向进行的,是在衬底台阶的结点位置发生的。因此,在将硅锭切片制备外延衬底时,一般硅片都应偏离主晶面一个小角度。目的是为了得到原子层台阶和结点位置,以利于表面外延生长。 7. 外延层杂质的分布主要受哪几种因素影响? 答:杂质掺杂效率不仅依赖于外延温度、生长速率、气流中掺杂剂的摩尔分数、反应室的几何形状等因素,还依赖于掺杂剂自身的特性。另外,影响掺杂效率的因素还有衬底的取向和外延层结晶质量。硅的气相外延工艺中,在外延过程中,衬底和外延层之间存在杂质交换现象,即会出现杂质的再分布现象,主要有自掺杂效应和互扩散效应两种现象引起。

常用集成电路的型号及功能说明

型号功能 ACP2371NI 多制式数字音频信号处理电路ACVP2205 梳状滤波、视频信号处理电路 AN5071 波段转换控制电路 AN5195K 子图像信号处理电路 AN5265 伴音功率放大电路 AN5274 伴音功率放大电路 AN5285K 伴音前置放大电路 AN5342K 图像水平轮廓校正、扫描速度调制电路AN5348K AI信号处理电路 AN5521 场扫描输出电路 AN5551 枕形失真校正电路 AN5560 50/60Hz场频自动识别电路 AN5612 色差、基色信号变换电路 AN5836 双声道前置放大及控制电路 AN5858K TV/AV切换电路 AN5862K(AN5862S) 视频模拟开关 AN5891K 音频信号处理电路 AT24C02 2线电可擦、可编程只读存储器 AT24C04 2线电可擦、可编程只读存储器 AT24C08 2线电可擦、可编程只读存储器 ATQ203 扬声器切换继电器电路 BA3880S 高分辨率音频信号处理电路 BA3884S 高分辨率音频信号处理电路 BA4558N 双运算放大器 BA7604N 梳状切换开关电路 BU9252S 8bitA/D转换电路 CAT24C16 2线电可擦、可编程只读存储器 CCU-FDTV 微处理器 CCU-FDTV-06 微处理器 CD54573A/CD54573CS 波段转换控制电路 CH0403-5H61 微处理器 CH04801-5F43 微处理器 CH05001(PCA84C841) 微处理器 CH05002 微处理器 CH7001C 数字NTSC/PAL编码电路 CHT0406 微处理器 CHT0803(TMP87CP38N*) 8bit微处理器 CHT0807(TMP87CP38N) 8bit微处理器 CHT0808(TMP87CP38N) 8bit微处理器 CHT0818 微处理器 CKP1003C 微处理器 CKP1004S(TMP87CK38N) 微处理器 CKP1006S(TMP87CH38N) 微处理器

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

数字电子技术试卷及答案(免费版)

第1页(共28页) 第2页(共28页) 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错 选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

集成电路功能

M11B416256A 存储集成电路 M1418VVW 微处理集成电路 M2063SP 制式转换集成电路 M208 系统控制集成电路 M24C08 存储集成电路 M24C128-WMN6 存储集成电路 M27V201-200N6 中文字库集成电路 M28F101AVPAD 存储集成电路 M3004LAB1 红外遥控信号发射集成电路M32L1632512A 存储集成电路 M34300-012SP 微处理集成电路 M34300-628SP 微处理集成电路 M34300M4-012SP 微处理集成电路 M34300N4-011SP 微处理集成电路 M34300N4-012SP 微处理集成电路 M34300N4-555SP 微处理集成电路 M34300N4-567SP 微处理集成电路 M34300N4-584SP 微处理集成电路 M34300N4-587SP 微处理集成电路 M34300N4-628SP 微处理集成电路 M34300N4-629SP 微处理集成电路 M34300N4-657SP 微处理集成电路 M34302M8-612SP 微处理集成电路 M37100M8-616SP 微处理集成电路 M37102M8-503SP 微处理集成电路 M37103M4-750SP 微处理集成电路 M37201M6 微处理集成电路 M37204M8-852SP 微处理集成电路 M37210M2-609SP 微处理集成电路 M37210M3-010SP 微处理集成电路 M37210M3-550SP 微处理集成电路 M37210M3-603SP 微处理集成电路 M37210M3-800SP 微处理集成电路 M37210M3-901SP 微处理集成电路 M37210M3-902SP 微处理集成电路 M37210M4-650SP 微处理集成电路 M37210M4-688微处理集成电路 M37210M4-705SP 微处理集成电路 M37210M4-786SP 微处理集成电路 M37211M2-604SP 微处理集成电路 M37211M2-609SP 微处理集成电路 M37220M3 微处理集成电路 M37221 微处理集成电路 M37221M6-065SP 微处理集成电路

半导体集成电路习题及答案

第1章 集成电路的基本制造工艺 1.6 一般TTL 集成电路与集成运算放大器电路在选择外延层电阻率上有何区别?为什么? 答:集成运算放大器电路的外延层电阻率比一般TTL 集成电路的外延层电阻率高。 第2章 集成电路中的晶体管及其寄生效应 复 习 思 考 题 2.2 利用截锥体电阻公式,计算TTL “与非”门输出管的CS r 2.2 所示。 提示:先求截锥体的高度 up BL epi mc jc epi T x x T T -----= 然后利用公式: b a a b WL T r c -? = /ln 1ρ , 2 1 2?? =--BL C E BL S C W L R r b a a b WL T r c -? = /ln 3ρ 321C C C CS r r r r ++= 注意:在计算W 、L 时, 应考虑横向扩散。 2.3 伴随一个横向PNP 器件产生两个寄生的PNP 晶体管,试问当横向PNP 器件在4种可能 的偏置情况下,哪一种偏置会使得寄生晶体管的影响最大? 答:当横向PNP 管处于饱和状态时,会使得寄生晶体管的影响最大。 2.8 试设计一个单基极、单发射极和单集电极的输出晶体管,要求其在20mA 的电流负载下 ,OL V ≤0.4V ,请在坐标纸上放大500倍画出其版图。给出设计条件如下: 答: 解题思路 ⑴由0I 、α求有效发射区周长Eeff L ; ⑵由设计条件画图 ①先画发射区引线孔; ②由孔四边各距A D 画出发射区扩散孔; ③由A D 先画出基区扩散孔的三边; ④由B E D -画出基区引线孔; ⑤由A D 画出基区扩散孔的另一边;

⑥由A D 先画出外延岛的三边; ⑦由C B D -画出集电极接触孔; ⑧由A D 画出外延岛的另一边; ⑨由I d 画出隔离槽的四周; ⑩验证所画晶体管的CS r 是否满足V V OL 4.0≤的条件,若不满足,则要对所作 的图进行修正,直至满足V V OL 4.0≤的条件。(CS C OL r I V V 00 ES += 及己知 V V C 05.00ES =) 第3章 集成电路中的无源元件 复 习 思 考 题 3.3 设计一个4k Ω的基区扩散电阻及其版图。 试求: (1) 可取的电阻最小线宽min R W =?你取多少? 答:12μm (2) 粗估一下电阻长度,根据隔离框面积该电阻至少要几个弯头? 答:一个弯头 第4章 晶体管 (TTL)电路 复 习 思 考 题 4.4 某个TTL 与非门的输出低电平测试结果为 OL V =1V 。试问这个器件合格吗?上 机使用时有什么问题? 答:不合格。 4.5 试分析图题4.5所示STTL 电路在导通态和截止态时各节点的电压和电流,假定各管的 β=20, BEF V 和一般NPN 管相同, BCF V =0.55V , CES V =0.4~0.5V , 1 CES V =0.1~0.2V 。 答:(1)导通态(输出为低电平) V V B 1.21= , V V B 55.12= ,V V B 2.13= ,V V B 5.04= ,V V B 8.05= ,

(完整版)集成电路工艺原理期末试题

电子科技大学成都学院二零一零至二零一一学年第二学期 集成电路工艺原理课程考试题A卷(120分钟)一张A4纸开卷教师:邓小川 一二三四五六七八九十总分评卷教师 1、名词解释:(7分) 答:Moore law:芯片上所集成的晶体管的数目,每隔18个月翻一番。 特征尺寸:集成电路中半导体器件能够加工的最小尺寸。 Fabless:IC 设计公司,只设计不生产。 SOI:绝缘体上硅。 RTA:快速热退火。 微电子:微型电子电路。 IDM:集成器件制造商。 Chipless:既不生产也不设计芯片,设计IP内核,授权给半导体公司使用。 LOCOS:局部氧化工艺。 STI:浅槽隔离工艺。 2、现在国际上批量生产IC所用的最小线宽大致是多少,是何家企业生产?请 举出三个以上在这种工艺中所采用的新技术(与亚微米工艺相比)?(7分) 答:国际上批量生产IC所用的最小线宽是Intel公司的32nm。 在这种工艺中所采用的新技术有:铜互联;Low-K材料;金属栅;High-K材料;应变硅技术。 3、集成电路制造工艺中,主要有哪两种隔离工艺?目前的主流深亚微米隔离工 艺是哪种器件隔离工艺,为什么?(7分) 答:集成电路制造工艺中,主要有局部氧化工艺-LOCOS;浅槽隔离技术-STI两种隔离工艺。 主流深亚微米隔离工艺是:STI。STI与LOCOS工艺相比,具有以下优点:更有效的器件隔离;显著减小器件表面积;超强的闩锁保护能力;对沟道无 侵蚀;与CMP兼容。 4、在集成电路制造工艺中,轻掺杂漏(LDD)注入工艺是如何减少结和沟道区间的电场,从而防止热载流子的产生?(7分) 答:如果没有LDD形成,在晶体管正常工作时会在结和沟道区之间形成高

1篇3章习题解答浙大版集成电路课后答案

第三章场效应晶体管及其电路分析 题1.3.1绝缘栅场效应管漏极特性曲线如图题1.3.1(a)~(d)所示。 (1)说明图(a)~(d)曲线对应何种类型的场效应管。 (2)根据图中曲线粗略地估计:开启电压V T、夹断电压V P和饱和漏极电流I DSS或I DO 的数值。 图题1.3.1 解:图(a):增强型N沟道MOS管,V GS(th)≈3V,I DO≈3mA; 图(b):增强型P沟道MOS管,V GS(th)≈-2V,I DO≈2mA; 图(c):耗尽型型P沟道MOS管,V GS(off)≈2V,I DSS≈2mA; 图(d):耗尽型型N沟道MOS管,V GS(off)≈-3V,I DSS≈3mA。 题1.3.2 场效应管漏极特性曲线同图题1.3.1(a)~(d)所示。分别画出各种管子对应的转移特性曲线i D=f(v GS)。 解:在漏极特性上某一V DS下作一直线,该直线与每条输出特性的交点决定了V GS和I D的大小,逐点作出,连接成曲线,就是管子的转移特性了,分别如图1.3.2所示。 图1.3.2 题1.3.3 图题1.3.3所示为场效应管的转移特性曲线。试问:

图题1.3.3 (1) I DSS 、V P 值为多大? (2) 根据给定曲线,估算当i D =1.5mA 和i D =3.9mA 时,g m 约为多少? (3) 根据g m 的定义:GS D m dv di g ,计算v GS = -1V 和v GS = -3V 时相对应的g m 值。 解: (1) I DSS =5.5mA ,V GS(off)=-5V ; (2) I D =1.5mA 时,g m ≈0.88ms ,I D =3.9mA 时,g m ≈1.76ms ; (3) v GS =-1V 时,g m ≈0.88ms ,v GS =-3V 时,g m ≈1.76ms 。 题1.3.4 由晶体管特性图示仪测得场效应管T 1和T 2各具有图题1.3.4的(a )和(b )所示的输出 特性曲线,试判断它们的类型,并粗略地估计V P 或V T 值,以及v DS =5V 时的I DSS 或 I DO 值。 图题1.3.4 解: 图(a):耗尽型PMOS 管,V GS(off)=3V ;当V DS =5V 时,I DSS =2mA ; 图(b):增强型PMOS 管,V GS(th)=-4V ;当V DS =5V 时,I DO ≈1.8mA 。 题1.3.5 某MOS 场效应的漏极特性如图题1.3.5所示。试画出v DS =9V 时的转移特性曲线,并定性分析跨导g m 与I D 的关系。 图题1.3.5

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS 工艺流程。简述CMOS 集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18 指的是什么?简述CMOS 工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx其中,x为4位二进制整数输入信号。y 为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis 到tape out 之间的设计flow ,并列出其中各步使用的tool. 10、简述FPGA 等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS 电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA

《数字集成电路》期末试卷(含答案)

浙江工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 姓名 学号 班级 任课教师 一、填空题(本大题共10小题,每空格1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这样 的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(000101110010)8421BC D D .(101110010)8421BCD 12.逻辑函数AC B A C B A Z +=),,(包含 个最小项。 【 】

集成电路设计习题答案-章 精品

CH1 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么?对发展集成电路设计有什么意义? MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识? 系统,电路,工具,工艺方面的知识 CH2 1.为什么硅材料在集成电路技术中起着举足轻重的作用? 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点? P10,11 3.怎样的条件下金属与半导体形成欧姆接触?怎样的条件下金属与半导体形成肖特基接触? 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 CH3 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线(X-ray)具有比可见光短得多的波长,可用来制作更高分辨率的掩膜版。电子束

集成电路作业

1、集成电路制造工艺发展水平的衡量指标是什么? a. 在设计和生产中可达到的最小线宽(或称特征尺寸L)。 b. 所使用的硅晶圆片的直径。 c. DRAM的储存容量。 2、简述电路制造工艺的发展趋势。 a.趋势性的变化越来越明显,速度越来越快。 特征尺寸越来越小,电源电压越来越低,芯片尺寸越来越大,布线层数越来越多,单片上的晶体管数越来越多,I/O引线越来越多,时钟速度越来越快 b.集成电路朝着两个方向发展。 c.从另一个角度来说,进入90年代以来,电子信息类产品的开发明显地出现了两个 特点。 3、简述集成电路产业结构经历的三次重大变革。 第一次变革是以加工制造为主导的初级阶段 a.这一时期半导体制造在IC产业中充当主角。 b.这一时期IC设计和半导体工艺密切相关且主要以人工为主。 第二次变革以Foundry和IC设计公司的崛起为标志 a.集成电路产业的一次大分工。 b.IC产业进入了以客户为导向的阶段。 c.EDA工具的发展,使IC设计工程可以独立于生产工艺。 第三次变革以设计、制造、封装和测试四业分离为标志 a.集成电路产业的又一次大分工。 b.IC产业进入了以竞争为导向的高级阶段。 c.系统设计与IP核设计逐渐开始分工。 4、1个门相当于几个晶体管?以门的数量来划分集成电路有哪些分类? 1个门相当于4个晶体管,等效于一个二输入与非门 a. 小规模集成电路(SSI:Small Scale Integrated Circuit)>1-10个门,基本的与非和或非逻辑。 b. 中规模集成电路(MSI: Middle Scale Integrated Circuit)>1万门以内,含有计数器和逻辑功能块等电路。 c. 大规模集成电路(LSI:Large Scale Integrated Circuit)>几万门,含有更多更大逻辑功能块,如4位CPU等。 d. 超大规模集成电路(VLSI:Very Large Scale Integrated Circuit)>近十万门,如64位CPU等电路。 e. 特大规模集成电路(ULSI:Ultra Large Scale Integrated Circuit)>几百万门以上,如DSP、CPU等电路。 f. 巨大规模集成电路(GSI:Giga Scale Integrated Circuit)>千万门以上,如SoC等电路。 5、集成电路系统设计需具备哪些要素? 人才、工具、库和资金。

集成电路工艺原理试题总体答案

目录 一、填空题(每空1分,共24分) (1) 二、判断题(每小题1.5分,共9分) (1) 三、简答题(每小题4分,共28分) (2) 四、计算题(每小题5分,共10分) (4) 五、综合题(共9分) (5) 一、填空题(每空1分,共24分) 1.制作电阻分压器共需要三次光刻,分别是电阻薄膜层光刻、高层绝缘层光刻和互连金属层光刻。 2.集成电路制作工艺大体上可以分成三类,包括图形转化技术、薄膜制备技术、掺杂技术。 3.晶体中的缺陷包括点缺陷、线缺陷、面缺陷、体缺陷等四种。 4.高纯硅制备过程为氧化硅→粗硅→ 低纯四氯化硅→ 高纯四氯化硅→ 高纯硅。 5.直拉法单晶生长过程包括下种、收颈、放肩、等径生长、收尾等步骤。 6.提拉出合格的单晶硅棒后,还要经过切片、研磨、抛光等工序过程方可制备出符合集成电路制造要求的硅衬底 片。 7.常规的硅材料抛光方式有:机械抛光,化学抛光,机械化学抛光等。 8.热氧化制备SiO2的方法可分为四种,包括干氧氧化、水蒸汽氧化、湿氧氧化、氢氧合成氧化。 9.硅平面工艺中高温氧化生成的非本征无定性二氧化硅对硼、磷、砷(As)、锑(Sb)等元素具有掩蔽作用。 10.在SiO2内和Si- SiO2界面存在有可动离子电荷、氧化层固定电荷、界面陷阱电荷、氧化层陷阱等电荷。 11.制备SiO2的方法有溅射法、真空蒸发法、阳极氧化法、热氧化法、热分解淀积法等。 12.常规平面工艺扩散工序中的恒定表面源扩散过程中,杂质在体内满足余误差函数分布。常规平面工艺扩散工序中的有限表 面源扩散过程中,杂质在体内满足高斯分布函数分布。 13.离子注入在衬底中产生的损伤主要有点缺陷、非晶区、非晶层等三种。 14.离子注入系统结构一般包括离子源、磁分析器、加速管、聚焦和扫描系统、靶室等部分。 15.真空蒸发的蒸发源有电阻加热源、电子束加热源、激光加热源、高频感应加热蒸发源等。 16.真空蒸发设备由三大部分组成,分别是真空系统、蒸发系统、基板及加热系统。 17.自持放电的形式有辉光放电、弧光放电、电晕放电、火花放电。 18.离子对物体表面轰击时可能发生的物理过程有反射、产生二次电子、溅射、注入。 19.溅射镀膜方法有直流溅射、射频溅射、偏压溅射、磁控溅射(反应溅射、离子束溅射)等。 20.常用的溅射镀膜气体是氩气(Ar),射频溅射镀膜的射频频率是13.56MHz。 21.CVD过程中化学反应所需的激活能来源有?热能、等离子体、光能等。 22.根据向衬底输送原子的方式可以把外延分为:气相外延、液相外延、固相外延。 23.硅气相外延的硅源有四氯化硅(SiCl4)、三氯硅烷(SiHCl3)、二氯硅烷(SiH2Cl2)、硅烷(SiH4)等。 24.特大规模集成电路(ULIC)对光刻的基本要求包括高分辨率、高灵敏度的光刻胶、低缺陷、精密的套刻对准、对大尺寸硅片 的加工等五个方面。 25.常规硅集成电路平面制造工艺中光刻工序包括的步骤有涂胶、前烘、曝光、显影、坚膜、腐蚀、 去胶等。 26.光刻中影响甩胶后光刻胶膜厚的因素有溶解度、温度、甩胶时间、转速。 27.控制湿法腐蚀的主要参数有腐蚀液浓度、腐蚀时间、腐蚀液温度、溶液的搅拌方式等。 28.湿法腐蚀Si所用溶液有硝酸-氢氟酸-醋酸(或水)混合液、KOH溶液等,腐蚀SiO2常用的腐蚀剂是HF溶液,腐蚀 Si3N4常用的腐蚀剂是磷酸。 29.湿法腐蚀的特点是选择比高、工艺简单、各向同性、线条宽度难以控制。 30.常规集成电路平面制造工艺主要由光刻、氧化、扩散、刻蚀、离子注入(外延、CVD、PVD)等工 艺手段组成。 31.设计与生产一种最简单的硅双极型PN结隔离结构的集成电路,需要埋层光刻、隔离光刻、基区光刻、发射区光刻、引线区 光刻、反刻铝电极等六次光刻。 32.集成电路中隔离技术有哪些类? 二、判断题(每小题1.5分,共9分) 1.连续固溶体可以是替位式固溶体,也可以是间隙式固溶体(×) 2.管芯在芯片表面上的位置安排应考虑材料的解理方向,而解理向的确定应根据定向切割硅锭时制作出的定位面为依据。(√) 3.当位错线与滑移矢量垂直时,这样的位错称为刃位错,如果位错线与滑移矢量平行,称为螺位错(√) 4.热氧化过程中是硅向二氧化硅外表面运动,在二氧化硅表面与氧化剂反应生成二氧化硅。(×) 5.热氧化生长的SiO2都是四面体结构,有桥键氧、非桥键氧,桥键氧越多结构越致密,SiO2中有离子键成份,氧空位表现为带正

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

《集成电路设计原理》试卷及答案课件

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5.(4分)MOSFET 可以分为 增强型NMOS ,耗尽型NMOS ,增强型PMOS ,耗尽型PMOS___四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 栅极, 和 漏极 ; VDD , 作为PMOS 的源极和体端, ,GND 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= 4 V ,Y 2= 3 V ,Y 3= 3 V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3 二、画图题:(共12分)

1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD =+的电路图,要求使用的MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么? 3.简述静态CMOS电路的优点。

集成电路设计方案习题答案章

集成电路设计方案习题答案章

CH1 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE 定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多工程晶圆

的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,能够经过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子能够容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 CH31.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线

相关文档
最新文档