课程设计——汽车尾灯控制电路设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
汽车尾灯控制电路数电课程设计原理
汽车尾灯控制电路数电课程设计原理下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。
文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!本店铺为大家提供各种类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you! In addition, this shop provides you with various types of practical materials, such as educational essays, diary appreciation, sentence excerpts, ancient poems, classic articles, topic composition, work summary, word parsing, copy excerpts, other materials and so on, want to know different data formats and writing methods, please pay attention!汽车尾灯控制电路设计原理一、引言汽车尾灯的设计是为了在夜间或恶劣天气下提供车辆的位置和行驶方向信息,对行车安全至关重要。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。
二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。
三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。
汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。
四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。
五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。
本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。
数字电子课程设计报告--汽车尾灯控制电路设计
汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。
2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。
二、系统设计方案论述1)downto 0)y_out STD_LOGIC_VECTOR(2downto 0)右灯输出输出2) 顶层电路图3)设计源程序说明:library ieee;use ieee.std_logic_1164.all;entity weideng isport(zuo,you,clk,en:in std_logic;z_out:out std_logic_vector(2 downto 0);y_out:out std_logic_vector(2 downto 0));end weideng;architecture qiche of weideng issignal zo,yu,sha:std_logic;beginprocess(zuo,you)variable a:std_logic_vector(1 downto 0);------------定义刹车(sha)信号--------------begina:=zuo&you;case a iswhen "00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when "10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号when "01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号when others=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号end case;end process;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";--------若输入刹车信号,三个灯全亮elsif clk'event and clk='1' thenif en='1' thenif tmp ="000" thentmp:="111";else tmp:="000";----------若输入紧急(en)信号,灯双闪end if;elsif zuo='0'and you ='0' thentmp:="000";------------若左右输入信号为0时,灯全不亮elsif zuo='1' thenif tmp="000" thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1 downto 0)&'0';end if;end if;end if;z_out<=tmp;end process;-------------------------右边三个灯-------------------------- process(clk,en,sha,you)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";elsif clk'event and clk='1' thenif en='1' thenif tmp="000"thentmp:="111";else tmp:="000";end if;elsif zuo='0' and you='0' thentmp:="000";elsif you='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;end if;y_out<=tmp;end process;end qiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号为1时,右灯顺序点亮;在en为0的前提下,右灯输入信号为0,左灯输入信号为1时,左灯顺序点亮;当输入信号en为1时,输出全为双闪。
《数字电子技术课程设计》——汽车尾灯控制电路
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
电子技术课程设计汽车尾灯控制电路
电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。
当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。
RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。
数字电子技术课程设计汽车尾灯控制电路
数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。
在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。
本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。
一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。
数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。
在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。
所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。
二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。
这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。
工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。
2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。
NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。
通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。
3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。
按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。
4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。
在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。
三、实验结果通过将设计好的电路拼装后,进行了实验测试。
实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。
课程设计-汽车尾灯控制电路设计
课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。
该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。
汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。
控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。
变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。
电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。
尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。
首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。
其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。
最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。
总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。
其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。
汽车尾灯控制电路设计数字电路课程设计
汽车尾灯控制电路设计方案《数字电路课程设计》报告目录第一部分系统设计 (2)1.1 设计题目及要求 (2)1.2 总体设计方案 (2)第二部分单元电路设计 (2)2.1 时钟脉冲电路 (2)2.2 开关控制电路 (4)2.3 三进制计数器 (4)2.4 译码、显示驱动电路 (6)第三部分整机电路 (7)3.1 整机电路图 (7)3.2 元件清单 (7)第四部分性能测量 (8)4.1实验条件(仿真调试和试验箱) (8)4.2 电路调试 (8)第五部分课程设计总结 (10)第一部分系统设计1.1 设计题目及要求设计一个汽车尾灯控制电路,尾灯共有六个,左右各三个。
基本要求为:1、正常行驶和停车时指示灯全灭。
2、汽车左转弯和右转弯时以动态流水灯形式指示转弯和转弯方向。
3、汽车刹车时使所有尾灯闪烁,提醒后边的车辆防止追尾。
1.2 总体设计方案1.2.1 设计思路在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
1.2.2 设计方案方案原理框图如图2.1所示:开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1.2.2 汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求发光。
第二部分单元电路设计2.1 时钟脉冲电路2.1.1 时钟脉冲电路图时钟脉冲原理图如图2.1.1所示:图2.1.1 脉冲电路2.1.2 时钟脉冲电路工作原理由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。
汽车尾灯控制电路设计报告_3
前言汽车现今已是非常普遍的交通工具, 作已大量进入人们的生活, 随着电子技术的发展, 对于汽车的控制电路, 也已从过去的全人工开关控制发展到了智能化控制。
在夜晚或因天气原因能见度不高的时候, 人们对汽车安全行驶要求很高. 汽车尾灯控制系统给大家带来了方便。
汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的, 汽车尾灯一般是用基于微处器的硬件电路结构构成, 正因为硬件电路的局限性, 不能随意的更改电路的功能和性能, 且可靠性得不到保证, 因此对汽车尾灯控制系统的发展带来一定的局限性, 难以满足现代汽车的智能化发展, 而本课题可解决此问题。
本次的课题是基于单片机的汽车尾灯控制器, 该设计课题主要由AT89S52单片机为核心展开的汽车尾灯控制电路的设计方法, 用发光二极管模拟汽车尾灯, 按键开关作为转弯等控制信号。
通过设计汽车尾灯显示控制电路, 能很好的综合运用我们所学习到的单片机、C语言、模拟电路等知识, 熟悉电子电路设计的基本方法。
在实际应用中有很多种方法来实现汽车尾灯的控制, 但此次以单片机为核心的控制电路体现出电路简单、制作方便、容易操作、可反复擦写、性能可靠等特点。
目录前言 (1)2 系统组成及原理 (4)2.1系统组成 (4)2.2 设计原理分析 (4)3 单元电路设计 (6)3.1 秒脉冲电路的设计 (6)3.2 开关控制电路的设计 (7)3.3 三进制计数电路的设计 (8)3.4译码驱动电路的设计 (10)4 系统的调试与结果 (11)总结 (12)参考文献 (14)1 设计内容及要求本次设计的任务是设计、制作一个汽车尾灯显示的电路。
设汽车尾部左右两侧各有3个指示灯(用发光管模拟), 要求是:①以MCS-51系列单片机为核心, 设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯。
当接通相应的开关按键时, 指示灯按照指定要求闪烁。
②汽车尾灯由四个电键控制, 分别对应着左转、右转、刹车和检查功能。
汽车尾灯控制电路(课程设计报告)
摘要 (1)一、设计任务 (2)二、实验目的 (2)三、总体设计方案 (2)3.1 设计思路 (2)3.2 设计原理 (3)四、电路组成 (3)4.1 模式控制电路 (3)4.2 时钟信号源 (4)4.3 驱动电路与显示电路 (4)五、硬件电路安装、调试 (6)5.1 遇到的主要问题 (6)5.2 现象记录与原理分析 (6)5.3 解决措施及效果 (6)六、仿真结果 (6)七、实验总结与体会 (9)八、参考文献 (9)九、附录 (9)随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。
比如,因为汽车突然转向所引发的车祸经常出现。
如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。
因此,本方案设计了一个“汽车尾灯控制电路”。
“汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、555定时器及电阻、电容进行搭建。
综合数字电路和模拟电路的知识,提升了我们处理实际问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。
【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。
一、设计任务设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。
二、实验目的1、锻炼学生综合运用电子技术基础知识以及动手能力;2、提高学生使用中规模集成芯片以及调试较大型电子系统的能力;3、使学生了解基本逻辑单元电路在实际生活中的应用,为今后进行复杂的综合型电子系统的设计和调试打下基础。
三、总体设计方案3.1 设计思路分析以上设计任务,由于汽车左转弯或右转弯时,3个指示灯循环点亮,所以用双向移位寄存器74LS194顺序输出高电平,从而控制尾灯按要求点亮。
汽车尾灯控制电路设计
课程设计——汽车尾灯控制电路设计一、 实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。
二、 设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:a 、 汽车正常运行时指示灯全灭;b 、 右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示灯按左循环顺序点亮。
c 、 临时刹车时所有指示灯同时闪烁。
三、 设计步骤:(1)列出尾灯与汽车运行状态表(2 由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(S 1、S 2、CP 、Q 1、Q 0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。
图1 汽车尾灯控制电路原理框图(3)设计单元电路三进制计数器电路可由双JK 触发器74LS76构成。
图2 三进制计数器电路图采用CP 下降沿触发的JK 触发器,当CP 由1跳变为0时,触发器的输出依据J 和K 的状态而定。
表3为J-K 触发器的状态表。
由双JK 汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。
74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1Q 0是三进制计数器的输出端。
当S 1=1,S 2=0时,使能信号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y 、1Y 、2Y 依次为0有效(3Y 、4Y 、5Y 信号为“1”无效),即反相器G 1~G 3的输出也依次为0,故指示灯D 3→D 2→D 1按顺序点亮示意汽车左转弯。
若上述条件不变,而S 1=0,S 2=1时,则74LS138对应的输出端4Y 、5Y 、6Y 依次为0有效,即反相器G 4~G 6的输出端依次为0,故指示灯D 4→D 5→D 6按顺序点亮示意汽车右转弯。
汽车尾灯控制电路设计课程设计
汽车尾灯控制电路设计1. 综述本课题要求设计一个汽车尾灯的控制电路。
该电路是用于反映汽车在运行时的状态,汽车尾部左右两侧各有4个指示灯。
当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。
假设汽车尾灯左右两侧各有4个指示灯(用发光二极管模拟),要求是:汽车正常远行时指示灯全灭;右转弯时,右侧4个指示灯按右循环顺序点亮;左转弯时左侧4个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。
经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给触发器和刹车时的输入信号。
触发器用于产生三进制的的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过8个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的4个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过与门,实现与刹车左、右转电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
设计本电路是考虑了三种以上的设计方案。
这几种方案的不同在于产生三进制计数器。
理论部分已用Proteus软件进行仿真,并且达到设计要求。
实际部分在电子实验室和同组的成员在老师的指导下一进行模拟,能够达到理论设计要求。
2. 方案选择与论证方案一:该方案通过74LS160或74LS161计数器构成能产生00、01、10三种状态循环的信号。
方案二:通过双 J-K 触发器 74LS76来产生00、01、10的三种状态信号方案三:通过D触发器产生00、01、10的三种状态信号方案四:通过T触发器产生00、01、100的三种状态信号第一种方案非常简单,但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。
所以不推荐使用,相对的是第二种方案,效果是最好的,但设计起来比较复杂,需要极大的细心和耐心,最后我们决定采用第三种方案,设计的复杂程度适中,而且达到了预期的设计目的3.电路设计框图及功能描述表3.1系统框图表3.2 尾灯与汽车运行状态表开关控制运行状态左尾灯右尾灯D4D5D6 D1D2D3 O O 正常运行 灯灭 灯灭O1右转弯灯灭按D1D2D3顺序循环点亮 1 O 左转弯 按D4D5D6顺序循环点亮灯灭11临时刹车所有的尾灯随时钟CP 同时闪烁电路组成及工作原理:经过以上所述的设计内容及要求的分析,可以将电路分为以下1S 0S几部分:首先,通过555定时器产生频率为1Hz 的脉冲信号,该脉冲信号用于提供给D 触发器和刹车时的输入信号。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
汽车尾灯控制电路课程设计
汽车尾灯控制电路课程设计-CAL-FENGHAI.-(YICAI)-Company One1目录1绪论 (1)1.1课题研究背景及意义 (1)1.2设计主要内容 (1)2设计方案选取与论证 (2)1.1设计任务及要求 (2)1.2方案论述与选择 (2)1.2.1设计思路及流程 (2)1.2.2方案论述与确定 (3)1.2.3设计方案框图 (4)3硬件电路设计 (5)3.1开关控制电路 (5)3.2译码电路 (6)3.3三进制计数器 (8)3.4脉冲发生电路 (10)3.5显示驱动与尾灯显示电路 (10)4电路测试与仿真 (11)4.1Proteus简介 (12)4.2利用Proteus进行电路仿真 (13)5结论 (17)6致谢 (18)7参考文献 (19)1绪论1.1课题研究背景及意义现代汽车尾灯是19世纪90年代末由美国哥伦比亚号汽车把电灯作为前灯和尾灯,而提出的最早的一种尾灯结构形式。
它是在电灯技术逐步进步的基础上发展起来的,其中包含了尾灯的光源及其控制系统、反射镜、照射镜等。
随着氙气灯的使用,驾驶的安全性与舒适性得到很大的改善,不仅有助于缓解人们夜间驾驶的紧张与疲劳,而且克服了驾驶人员的安全问题并使汽车的尾灯使用寿命加长。
到如今已经发展出了各种各样绚丽多彩的尾灯形式。
老式汽车尾灯通常是基于传统的机械和纯电路的控制方式,完全取决于尾灯系统所采用的硬件来保证它的正常工作,一旦电路老化或者因为机械振动而引起的接触问题以及机械元件变形而不能及时触发电路电源开关,从而导致电路出现故障,这类问题是经常发生的,而除了选用更好的硬件系统元件外几乎没有别的可靠的方法来避免这类故障的发生,于是,选用智能型的元件来进行系统的设计,增加系统的稳定性和可控制性是非常必要且有重要意义的[1]。
现代汽车尾灯控制电路一般是用基于微处理器的硬件电路结构构成,但因为硬件电路存在局限性,不能随意的更改电路的功能和性能,所以可靠性不高,因此对汽车尾灯控制系统的发展带来了一定的局限性。
汽车尾灯控制电路设计课程设计-10页word资料
汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
1.2设计要求1、汽车正常运行时尾灯全部熄灭。
2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。
3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。
4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。
设计要求具体见表1-1。
表1-1汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。
原理图如2-1所示:第3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。
有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。
汽车尾灯控制电路电子技术课程设计
电子技术课程设计——汽车尾灯控制电路 学 院:电子信息工程学院班 级:自动化051501 姓 名: 学 号:0119 指导教师:一:设计任务与要求设计内容:设计一个汽车尾灯控制电路,使尾灯能随着汽车运动状态的改变 而发生亮灭变化。
要求:1:汽车左转时,左灯按以下顺序变化:亮灭亮 灭亮灭;当 汽车右转时,右尾灯按以下顺序变化:亮灭亮TO 灭亮灭。
2:汽车正常前进时,尾灯全亮。
3:汽车刹车时,尾灯同时按一定频率闪亮。
二:总体框图汽车运行状态电路模块:模拟汽车的运行状态,S1合向上边,S2合向下边 时,汽车右转;S1合向下边,S2合向上边时,汽车左转; SI 、S2同时合向上边时,汽车在刹车状态 ;S1、S2同时合向下边时,汽车正常运行。
分频电路模块:分析来自译码器的信号,判断汽车处于哪种状态,进而将判 断结果输入显示电路。
显示电路:通过74LS194将分频电路分析出的信号通过小灯直观的显示出 来。
三、选择器件 1:74LS138 逻辑符号: 上图为3线-8线译码器74LS138的逻辑符号图, 其有3个附加的控制端G1、 G2A 和G2B 。
当G1=1、G2A+G2B=0时,其附加门GS 才输出高电平(S=1),译码 器处于工作状态。
否则译码被禁止,所有的输出端被封锁在高电平,这三个控制端也叫做“片选”输入端,利用片选的作用可以将多片连接起来以扩展译码左转显示电路 运行状态控制电路右转显示电路 译码电路 分频电路器的功能。
图(9)3线-8线译码器74LS138的逻辑符号图74LS138的内部原理图74LS138的内部结构图3线-8线译码器 74LS138的功能表 输入输出S1 S2+S3 A0 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 X 1 1 11 1 1 1 1 X 1 0 0 00 0 0 0 0X X 0 0 0 0 1 1 1 1X X 0 0 1 1 0 0 1 1X X 0 1 0 1 0 1 0 11 1 0 1 1 1 1 1 1 11 1 1 0 1 1 1 1 1 11 1 1 1 0 1 1 1 1 11 1 1 1 1 0 1 1 1 11 1 1 1 1 1 0 1 1 11 1 1 1 1 1 1 0 1 11 1 1 1 1 1 1 1 0 11 1 1 1 1 1 1 1 1 0由3线-8线译码器74LS138的功能表也可以看出,当S1=0时,无论S2+S3等于0还是1,译码器都处于禁止状态,当S1=1,S2+S3=0时,译码器处于工作状态。
《课程设计-汽车尾灯控制器的电路设计》精选全文
可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。
2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。
②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。
二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。
设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。
表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。
整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。
所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。
此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。
方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。
555定时器的管脚图如图2所示。
由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。
电子技术课程综合设计——汽车尾灯控制电路设计
练习(设计)陈述姓名班级学号练习(设计)科目电子技巧分解课程设计练习练习(设计)地点练习(设计)时光2016.12.26~2017.1.6第一篇电子技巧课程分解设计--------汽车尾灯控制电路设计摘要:当此生涯节拍快,交通拥挤,导致交通变乱频仍产生,个中汽车追尾事宜在交通变乱中所占比重较大.追尾事宜的产生主如果因为司机无法掌控前方车辆的运行状况导致的,而汽车尾灯控制电路的产生,正好有利于缓解这一状况.经由过程对尾灯的控制,表现汽车在公路上的行驶状况,即汽车正常行驶.右转弯.左转弯.暂时刹车时,是四个不合的表示状况.汽车尾灯显示控制电路经由过程提示其他司机四周正有车辆进行转弯.刹车操纵,来达到削减交通变乱产生的目标,对于削减交通变乱具有必定的意义.汽车尾灯显示控制电路是汽车尾灯显示电路的重要构成部分,重要完成控制与驱动功效具体电路由三进制计数器电路.汽车行驶状况开关控制电路和汽车状况显示电路三部分构成.目录1 绪论 (1)1.1汽车尾灯设计的意义 (1)1.2汽车尾灯重要研讨义务及内容 (1)2 汽车尾灯课程设计进程描写 (2)2.1描写重要的设计思绪 (2)2.2 汽车尾灯总体设计计划方框图 (3)2.3 汽车尾灯各部分电路设计 (3)3 汽车尾灯整机电路图设计 (9)整机电路图 (9)器件清单 (10)4 仿真成果 (10)5 总结与领会 (12)6 参考文献 (12)1 绪论当此生涯节拍快,交通拥挤,导致交通变乱频仍产生,个中汽车追尾事宜在交通变乱中所占比重较大.追尾事宜的产生主如果因为司机无法掌控前方车辆的运行状况导致的,而汽车尾灯控制电路的产生,正好有利于缓解这一状况.本文依据现实情形设计了汽车尾灯控制体系,如许汽车在进行刹车和转弯时可以或许提示后方车辆,从而有用的削减交通变乱数目.设计义务主如果:应用所学的理论常识,设计模仿汽车尾灯控制经由过程三进制的计数器和译码器的改用,以及显示驱动和开关控制的电路设计来完成义务.设计经由过程发光二极管模仿汽车尾灯来实现了汽车在行驶时刻的四种情形:正常行驶.右转弯.左转弯.紧迫刹车.设汽车尾部阁下两侧各有3个指导灯(用发光管模仿),请求是:(1)汽车正常行驶时,尾灯全体熄灭.(2)汽车右转弯时,右侧3个指导灯按右循次序点亮.(3)汽车左转弯时,左侧3个指导灯按左循次序点亮.(4)汽车紧迫刹车时,所有指导灯同时闪耀.(5)选择电路计划,完成对肯定计划电路的设计.盘算电路元件参数与元件选择.并画出总体电路道理图,阐述基起源基础理.制造现实运行装配.完成电路的设计,并进行仿真.2 汽车尾灯课程设计进程描写设计构成一个控制汽车六个尾灯的电路,用六个指导灯模仿六个尾灯(汽车每侧三个灯),并用两个拨动式开关作为转弯旌旗灯号源;一个开关用于指导右转弯,一个开关用于指导左转弯,假如两个开关都被接通,解释驾驶员是一个外行,紧迫闪耀器起感化.右转弯时三个右边的灯应动作,左边的灯则全灭,右边的灯,周期性通亮与暗,一周约需一秒,对于左转弯,左边灯的操纵应相相似;当紧迫闪耀起感化时,六个尾灯大约以1Hz的频率一致地闪耀着亮与暗.同时,电路还用一个开关模仿脚踏制动器,制动时,若转弯开关未合上(或错误地将两个开关均合上的情形)所有六个尾灯均持续亮,在转弯的情形下,三个转向的尾灯应正常动作,另三个尾灯持续亮.另一个开关模仿泊车,泊车时,全体尾灯亮度为正常的一半.汽车尾灯显示状况变更表图2.3.11 555准时器管脚图各引脚功效;1脚:是直接清零端.当端接低电日常平凡基电路不工作,此时不管TH 处于何电平,时基电路输出为“0”,该端不必时应接高电平.2脚:放电端.该端与放电管集电极相连,用做准时器时电容的放电.3脚:TH高触发端.4脚:低触发端.5脚:控制电压端.若此端外接电压,则可转变内部两个比较器的基μF电容接地,以防引入干扰.~ 16V,CMOS型时基电路VCC的规模为3V~18V.7脚:外接电源负端VSS或接地,一般情形下接地.8脚:输出端VO.因为555准时器构成的多谐振荡器的振荡频率稳固,不轻易受干扰[.并且本次控制电路的设计中对脉冲精度请求不高,只要能实现可调即可.故在该单元电路设计中选择采取555准时器构成多谐振荡器作为脉冲产生电路.多谐振荡器又称为无稳态触发器,它没有稳固的输出状况,只有两个暂稳态.在电路处于某一暂稳态后,经由一段时光可以自行触发翻转到另一暂稳态.两个暂稳态自行互相转换而输出一系列矩形波.多谐振荡器可用作方波产生器由555准时器构成的多谐振荡器如图2-13所示,R1,R2和C1是外接准时元件,电路中将高电平触发端(THR)和低电平触发端(TRI)并接后接到R2和C1的衔接处,将放电端(DIS)接R1,R2的衔接处.图2.3.12 555准时器构成多谐振荡器的电路道理图因为接通电源刹时,电容C来不及充电,电容器C1两头电压U C为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出端(OUT)输出U O为高电平,放电管截止.这时,电源经R1,R2对电容C1充电,使电压Uc按指数纪律上升,当Uc上升到(2/3)Vcc时,输出Uo为低电平,放电管导通,把Uc从(1/3)Vcc 上升到(2/3)Vcc这段时光内电路的状况称为第一暂稳态,其保持时光T PH的长短与电容的充电时光有关.充电时光常数为(R1+R2)C1.PL的长短与电容的放电时光有关,放电时光常数跟着C的放电,Uc不竭降低,当Uc降低到(1/3)Vcc时,输出Uo为高电平,放电管截止,Vcc再次对电容C1充电,电路又翻转到第一暂稳态.可以懂得,接通电源后,电路就在两个暂稳态之间往返翻转,则输出端可得矩形波.电路一旦起振后,Uc电压老是在(1/3Vcc—2/3Vcc).三进制计数器图2.3.21 三进制计数器74LS112芯片管脚图74LS112是2JK触发器1脚是第一个触发器的时钟脉冲CP12脚是1K 3脚是1J4脚是置位端,低电平有用(即4脚为低时输出位高)5脚为1Q 6脚为1Q/7脚为第二个触发器的反输出2Q/8脚接地 9脚为2Q10脚为第二个动身器的置位端,11为2J 12为2K,13为第二个触发器的时钟脉冲CP214为第二个触发器的复位端低电平有用(即14脚为低时输出位低)15为第一个触发器的复位的16为电源VCC图2.3.22 三进制计数器道理图2.3.3 开关控制电路图2.3.11 开关控制电路道理图2.3.4 LED显示.驱动电路译码电路采取74LS138.六个与非门和六个反相器构成.74LS138的三个输入端 C.B.A分离接入S0.Q1.Q0.当S1=1,S0=0时,使能端旌旗灯号A=G=1,计数器的状况为00.01.10,译码器对应输出端Y0.Y1.Y2依次为低电平有用,经与非门即使得与R3.R4.R5相连的指导灯D1D2D3按次序轮回点亮,示意汽车左转弯.同应当S1=0,S0=0的时刻指导灯D4D5D6按次序轮回点亮,示意汽车右转弯.当G=0,A=1时,74LS138的输出端全为1,为高电平,此时指导灯全灭,示意汽车正常行驶.而当G=0,A=CP时,所有指导灯将随CP 的频率轮回闪耀,示意汽车紧迫刹车.3 汽车尾灯整机电路图设计元件型号数目74LS112 U1 U2 2 555 U3 1874LS100 U6 U9 U23 U24 U25 U26U26 U2774LS136 U5 174LS138 U4 1LED LED1 LED2 LED3 LED46LED5 LED6开关 S0 S1 24 仿真成果图 4.1 S0 S1打开,正常行驶图4.2 S0闭合 S1打开,右转弯图 4.3 S0打开S1闭合,左转弯图4.4 S0 S1闭合,紧迫刹车5 总结与领会经由过程本次的课程设计,我领会到了进修不单要把书本常识学好,要得弄懂器械还要本身勤翻书,上彀查材料,要勤动脑,学会思虑,可以从各个方面去思虑统一个问题,最重要的是要学会学乃至用,把一个大的电路朋分成许多小模块后会比较好设计,设计起来会比较灵巧,会有一个清楚的思绪.经由过程汽车尾灯控制电路的设计,使我懂得到数字电路及其芯片的应用面广,功效壮大,应用便利,并且已经普遍地应用在各类机械装备和临盆进程的各个方面.在设计进程中经由过程应用软件对设计电路进行测试和仿真,使我更进一步的控制了该软件的应用.这一次汽车尾灯控制电路的课程设计,使我受益匪浅.经由过程对本身在大学所学的常识的回想,并施展对所学常识的懂得和思虑及书面表达才能,最终完成了目标.这为本身今落后一步进修,积聚了必定的珍贵经验.把常识转化为才能的现实练习,造就了我应用所学常识解决现实问题的才能.此次设计让我获益匪浅,让我在着手中学到了常识,将理论和实践联合在一路,这对我今后的生涯和进修很有帮忙。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
0
1
×
CP
1
0
1
CP
由上式得开关控制电路,如图4所示。
A 74LS00 &
G 74LS86 =1
1
74LS10
&
&
+5v +5v
CP
S1 S0
(4)设计汽车尾灯总体电路
74LS76 双JK触发器
74LS10 三输入与非门
74LS86 四-2输入异或门
74LS138 3-8译码器
Q0 Q1
1J 2
1Q
2J
2Q
1K 1
2K
“1”
“1”
CP
74LS76
74LS76
图2 三进制计数器电路图
采用CP下降沿触发的JK触发器,当CP由1跳变为0时,触发器的 输出依据J和K的状态而定。表3为J-K触发器的状态表
J
K
说明
Q
0 0 1 1 0 1 0 1
Q
n 1
n
0 1
Q
n
输出状态不变 同J端状态 同J端状态 输出状态翻转
课程设计——汽车尾灯控制电路设计
实验目的:
熟悉常用芯片的使用,掌握时序逻辑电路和组合 逻辑电路的分析方法,培养设计能力。
设计要求:
假设汽车尾部左右两侧各有3个指示灯(用发光 二极管代替),应使指示灯达到三个要 求: 1、 汽车正常运行时指示灯全灭; 2、右转弯时,右侧三个指示灯按右循环顺序点亮; 3、左转弯时左侧3个指示灯按左循环顺序点亮。 4、临时刹车时所有指示灯同时闪烁。
汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成, 译码电路由3-8译码器74LS138和6个与非门构成。74LS138的三个输入端A2、 A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0、使
能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端
三进制计数器 开关控制 S1 0 S0 0 Q1 Q0 X 0 0 1 0 0 1 X X 0 1 0 0 1 0 X D6D5D4 D1D2D3 000 000 000 000 001 010 100 CPCPCP 000 100 010 001 000 000 000 CPCPCP 六个指示灯
0
图 尾 灯 电 路
3
开关控制电路。设74LS138和显示驱动电路的使能端信号分别为G和A, 根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、CP)的真值表, 如表4所示,由表4结果整理得逻辑表达式为:
开关控制 S1 0 0 S0 0 1 使能信号 G 0 1 A 1 1
CP × ×
1
设计步骤:(1)列出尾灯与汽车运行状态表
表1
开关控制
S1 0 0 1 S2 0 1 0
尾灯和汽车运行状态关系表
左尾灯 右尾灯
D1 D2 D3 灯灭 按D1 D2 D3顺序循环点亮 灯灭
运行状态
正常运行 右转弯 左转弯
D4 D5 D6 灯灭 灯灭 按D4 D5 D6顺序循环点亮
1
1
临时刹车
所有的尾灯随时钟CP同时闪烁
(2)设计总体框图
由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码
电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状
态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻 辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。
表2 汽车尾灯控制逻辑功能表
1
1 1
0 1
由表2得出总体框图,如图1所示:
图1 汽车尾灯控制电路原理框图
显示、驱动电路 尾 灯 电 路
开关控制电路
译码电路
ห้องสมุดไป่ตู้
三进制计数器 S0 S1
(3)设计单元电路
三进制计数器电路可由双JK触发器74LS76构成。1J1K1Q1 2J2K2Q2 74LS76“1”“1”CPQ0Q174LS76
依次为0有效 ,即反相器G1~G3的输出也依次为0,故指
示灯D1→D2→D3按顺序点亮示意汽车右转弯。若上述条件不变,而 S1=1,则 74LS138对应的输出端、、依次为0有效,即反相器G4~G6的输出端一次为0,故 指示灯D4→D5→D6按顺序点亮示意汽车左转弯。当G=0,A=1时,74LS138的 输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯 随CP的频率闪烁。