BCD7段数码管显示译码器电路设计

合集下载

显示译码电路实验报告

显示译码电路实验报告

显示译码电路实验报告显示译码电路实验报告引言:在现代电子技术领域,显示译码电路扮演着重要的角色。

它们可以将数字信号转换为人们可以理解的可视化信息,广泛应用于计算机、电视、手机等设备中。

本实验旨在通过搭建一个显示译码电路,探索其原理和应用。

一、实验目的本实验的目的是了解显示译码电路的工作原理,掌握其基本应用。

通过实践操作,学生们可以更好地理解数字电路的运行机制,提高实际动手能力。

二、实验材料和器件1. 74LS47芯片:这是一种BCD-7段译码器,用于将4位二进制输入转换为7段数码管的输出。

2. 7段数码管:用于显示数字和字母等字符。

3. 连接线、电源等辅助器件。

三、实验步骤1. 连接电路:将74LS47芯片与7段数码管通过连接线连接起来,确保电路连接正确无误。

2. 施加电源:将电路连接到适当的电源上,确保电压和电流符合芯片的工作要求。

3. 输入信号:通过开关或其他输入设备提供4位二进制输入信号。

4. 观察结果:观察7段数码管上显示的字符是否与输入信号对应,验证译码电路的正确性。

四、实验结果与分析经过实验操作,我们成功搭建了显示译码电路,并进行了测试。

在输入4位二进制数的情况下,数码管正确显示了对应的字符。

这表明译码电路能够准确地将二进制信号转换为可视化的字符信息。

通过进一步的观察和分析,我们发现译码电路的工作原理是将输入的二进制数映射到对应的数码管段上。

每个数码管段代表一个二进制位,通过控制该段的通断状态,可以显示不同的字符。

而74LS47芯片则起到了译码的作用,将二进制输入转换为对应的数码管段控制信号。

这种显示译码电路广泛应用于各种计算机和电子设备中。

它使得数字信息可以以更加直观和易读的方式展示给用户,提高了人机交互的效率和便利性。

例如,在计算机屏幕上显示的字符、数字时钟、电子秤等设备都使用了类似的译码电路。

五、实验总结通过本次实验,我们深入了解了显示译码电路的工作原理和应用。

通过实际操作,我们掌握了搭建和测试译码电路的方法,提高了动手实践能力。

BCD7段译码器

BCD7段译码器
关键词:LED数码管,BCD码,EDA技术
1 引 言
20世纪末,数字电子技术得到了飞速发展,有力地推动和促进了社会生产力的发展和社会信息化的提高,数字电子技术的应用已经渗透到人类生活的各个方面。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用了数字电子技术。
原理图描述的7段显示译码器的工作波形七段显示译码器的原理图描述显示的字形沈阳工程学院课程设计通过对bcd码显示译码器的设计我进一步了解到eda工具在我们现实生活中的作用是不可能被替代的而且在数字逻辑系统中74系列或4000系列芯片构成电路只适合简单的应用它们已不能满足人们对高科技技术的要求因此我们要更好的学习eda工具以便提高自身的实践能力与专业知识的应用能力争取更快的与社会实际和社会需要接轨
备注
1
12.30-12.31
选题,搜集资料,熟悉设计环境
2
01.02-01.06
调试程序
3
01.07-01.08
仿真程序
4
01.09-01.10
完成课程设计说明书(论文)、进行答辩
沈 阳 工 程 学 院
EDA课程设计成绩评定表
系(部):自动化学院班级:学生姓名:
指 导 教 师 评 审 意 见
评价
内容
MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。
半导体数码管(或称LED数码管)是常用的显示器件,其基本单元是发光PN结,目前较多采用磷砷化镓做成的PN结,封装成为发光二极管,如图1(a)所示。当外加正向电压时,就能发出清晰的光线。发光二极管的工作电压为1.5~3V,由于工作电流为几毫安到十几毫安比较小,故实际电路应串接适当的限流电阻。

试验四 7段数码显示译码器的设计

试验四 7段数码显示译码器的设计

实验四七段数码显示译码器设计(一)[实验目的]1、用QuartusII完成基本组合电路的设计;2、学习7段数码显示译码器设计;3、学习VHDL的CASE语句应用及多层次设计方法。

*[实验仪器]PC机、EDA实验箱一台Quartus II 6.0软件[实验内容](1) 实验内容1:说明例6-1中各语句的含义,以及该例的整体功能。

在QuartusII上对该例进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。

提示:用输入总线的方式给出输入信号仿真数据,仿真波形示如图3-1所示。

(2) 实验内容2:引脚锁定及硬件测试。

建议选GW48系统的实验电路模式6,用数码8显示译码输出(PIO46-PIO40),键8、键7、键6和键5四位控制输入,硬件验证译码器的工作性能。

(3) 实验内容3:按图3-3的方式连接成顶层设计电路(用VHDL表述),图中的CNT4B是一个4位二进制加法计数器;模块DECL7S即为例6-1实体元件,重复以上实验过程。

注意图6-3的tmp是4位总线,led是7位总线。

对于引脚锁定和实验,建议选电路模式6,用数码8显示译码输出,用键3作为时钟输入(每按2次键为1个时钟脉冲),或直接接时钟信号clock0。

要求:1.2个数码管进行显示,并且都采用不带译码器进行显示;2.1个数码管显示当前计数器的值;3..另外1个数码管当前1个数码管显示1,3,5的时候,分别显示L,三,A.,其它情况下显示0.[实验原理]7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。

例6-18作为7段译码器,输出信号LED7S的7位分别接如图6-2数码管的7个段,高位在左,低位在右。

例如当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。

(完整word版)7段数码显示译码器设计

(完整word版)7段数码显示译码器设计

广州大学学生实验报告实验室: 电子信息楼 317EDA 2017 年10 月 16 日一 实验目的a) 学习7段数码显示译码器设计;学习VHDL 的多层次设计方法二 实验原理a) 如图是共阴极数码管。

b) 七段数码管是纯组合电路,通常小规模专用IC ,如74或4000系列的器件只能做十进制BCD 译码。

然而.数字系统中的数据都是2vhdl 译码程序在FPGA 中来实现,4位码为A[3:0],输出控制77位数据为LED7S[6:0]。

输出信号LED7S 的7位7个端,高位在左,低位在右。

例如当LED7S ”时,数码管的7个段g,f,e,d,c,b,a 分别接1,5,如果要考虑小dp ,这里不考虑小数点。

三实验设备a)FPGA实验箱,Cyclone III EP3C40Q24C08四实验内容和结果a)10进制译码器VHDL代码设计根据实验原理,输入7段数码管译码程序,如图所示:b)波形仿真显然,仿真结果和共阴数码管真值表结果相同,说明设计是正确的,能实现正常10进制译码c)引脚锁定和硬件验证如图所示:实验电路模式6,用数码8显示译码输出(PIO46-PIO40),键8/7/6/5四位控制输入硬件验证的结果也和仿真的结果一致,通过按键控制4位输入控制10进制数字,从数码管读出译码值。

发现电路是可行的,说明设计没有错误。

d)16进制译码器VHDL设计i.根据实验原理,输入7段数码管译码程序,如图所示,红色方框为添加了的程序代码,将10进制延伸到16进制,新增加了6个数据点。

ii.波形仿真,可以看到,输出可以对16个数据进行译码输出,遂可以知道该VHDL程序能实现16进制的译码,译码输出可以接数码管。

iii.引脚锁定和硬件仿真实验电路模式6,用数码8显示译码输出(PIO46-PIO40),键8/7/6/5四位控制输入硬件验证的结果也和仿真的结果一致,通过按键控制4位输入控制16进制数字,从数码管读出译码值。

实验报告模板:实验二7段数码显示译码器

实验报告模板:实验二7段数码显示译码器

实验二7段数码显示译码器【实验目的】1.设计七段显示译码器,并在实验板上验证2.学习V erilog HDL文本文件进行逻辑设计输入;3.学习设计仿真工具的使用方法;【实验内容】1.实现BCD/七段显示译码器的“Verilog ”语言设计。

说明:7段显示译码器的输入为:IN0…IN3共5根,7段译码器的逻辑表同学自行设计,要求实现功能为:输入“0…15 ”(二进制),输出“0…9…F ”(显示数码),输出结果应在数码管(共阴)上显示出来。

2.使用工具为译码器建立一个元件符号3.设计仿真文件,进行验证。

4.编程下载并在实验箱上进行验证。

【实验原理】7段数码是纯组合电路。

通常的小规模专用IC,如74或4000系列的器件只能作十进制的BCD码译码,然而数字系统的数据处理和运算都是二进制的,所以输出表达式都是十六进制的。

为了满足十六进制数的译码显示,最方便的方法就是利用Verilog译码程序在FPGA/CPLD中实现。

首先要设计一段程序。

该程序可按照例3-2的case语句表述方法,再根据表4-2的真值表写出程序。

者输入的4位码为A【3:0】,输出控制7段共阴数码管的7位数据位LED7S【6:0】。

输出信号LED7S的7位分别接图4-74的工银数码管的7个段,高位在左,低位在右。

【程序源代码】(加注释)module LED (IN,led7);input[3:0] IN;output[6:0] led7; //定义输出信号reg[6:0] led7; //定规输出信号位7位的寄存器变量always@(IN) //IN为敏感性信号begin //主块开始case(IN) //使用了case语句4'b0000: led7<=7'b0111111;4'b0001: led7<=7'b0000110;4'b0010: led7<=7'b1011011;4'b0011: led7<=7'b1001111;4'b0100: led7<=7'b1100110;4'b0101: led7<=7'b1101101;4'b0110: led7<=7'b1111101;4'b0111: led7<=7'b0000111;4'b1000: led7<=7'b1111111;4'b1001: led7<=7'b1101111;default: led7<=7'b0111111;endcaseend //主块结束endmodule【仿真和测试结果】【硬件仿真结果:】【实验心得和体会】通过这次的7段数码显示译码器实验,我对EDA有了进一步的了解,对QuartusII有了了解以及在QuartusII的使用上有了一些经验。

显示译码电路实验报告

显示译码电路实验报告

一、实验目的1. 理解并掌握显示译码电路的基本原理和工作方式。

2. 学习使用常用的显示译码器芯片,如BCD-7段译码器。

3. 通过实验验证译码器与数码管连接的正确性,并实现数字信号的显示。

4. 提高动手实践能力,加深对数字电路知识的理解和应用。

二、实验原理显示译码电路是数字电路中一种重要的组合逻辑电路,其作用是将输入的二进制或BCD码信号转换为对应的七段LED显示信号。

常见的七段显示器有共阴极和共阳极两种,本实验采用共阴极显示器。

译码器的主要功能是将输入的二进制或BCD码转换为对应的七段显示码。

以BCD-7段译码器为例,其输入为4位BCD码,输出为7个控制信号,分别对应七段LED显示器的7个段。

当输入为0000~1001时,译码器输出相应的段码,使得数码管显示0~9的数字。

三、实验器材1. 数字逻辑实验箱2. 74LS47 BCD-7段译码器3. 共阴极七段数码管4. 连接线5. 电源6. 示波器(可选)四、实验步骤1. 搭建电路根据实验电路图,将74LS47 BCD-7段译码器与共阴极七段数码管连接。

将译码器的输入端A、B、C、D分别连接到实验箱上的数字信号源,输出端a、b、c、d、e、f、g连接到数码管的相应段。

2. 测试电路将实验箱上的数字信号源设置为BCD码输入,依次输入0000~1001,观察数码管显示的数字。

若显示不正确,检查电路连接是否正确,包括译码器、数码管、信号源等。

3. 调试电路若显示不正确,根据译码器的工作原理,分析可能的原因,如译码器芯片损坏、电路连接错误等。

通过排除法,逐步调试电路,直至数码管显示正确。

4. 实验数据记录记录实验过程中数码管的显示结果,并与理论计算结果进行对比。

五、实验结果与分析1. 实验结果通过实验,数码管成功显示了0~9的数字,验证了显示译码电路的正确性。

2. 实验分析实验过程中,通过观察数码管显示结果,发现译码器芯片、电路连接等均正常。

实验结果表明,显示译码电路能够将输入的BCD码转换为对应的七段显示信号,实现数字信号的显示。

(整理)七段显示译码器电路设计.

(整理)七段显示译码器电路设计.

题目:七段显示译码器电路设计专业:生产过程自动化专业班级:生产过程0901 姓名:学号:指导老师:杨旭目录第一节绪论……………………………………………………………………………..1.1本设计的任务和主要内容………………………………………………………………..1.2基本工作原理及原理框图………………………………………………………………...第二节硬件电路的设计…………………………………………………………………2.1BCD译码器选择与设计…………………………………………………………………….2.2LED显示器的设计……………………………………………………………………………2.3总的设计……………………………………………………………………………………第四节设计总结…………………………………………………………………………第一节绪论本课程设计的七段译码器主要以BCD译码器或LED显示器为主要部件,应用集成门电路组成的一个具有译码和显示的装置。

其中BCD 译码器采用8421BCD译码器,即----七段显示译码器(74LS48)型。

LED显示器是由发光二极管组成的,LED显示器分共阴极和共阳极两种型号,共阴极LED显示器的发光二级管阴极接地,共阳极LED显示器的发光二极管阳极并联。

最后把BCD译码器或LED显示器组成了的装置就具有了显示和译码的功能。

此七段译码器也就成功了。

1.1设计的任务和本主要内容1)运用LED显示器或BCD译码器实现一定的功能2)写出详细的实验报告1.2基本工作原理及原理框图基本工作原理及原理框图如下:第二节硬件的设计BCD译码器选择与设计发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。

分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。

外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。

EDA技术_项目5_BCD-7段显示译码器

EDA技术_项目5_BCD-7段显示译码器

仿真波形如下图所示
学习子领域2:数字电路的EDA设计
项目(学习情境)5
BCD-7段数码管显示译码器电路设计
任务要求
• 请根据要求在EDA实验箱上设计BCD-7 段数码管显示译码器电路,要求: • ⑴使用EDA实验箱上开关设置模块的K4、 K3、K2、K1开关作为BCD码输入; • ⑵使用EDA实验箱上键盘显示模块中最 右边一位的数码管显示输入的BCD码编 码数值; • ⑶进行功能仿真。
共阴极BCD-7段数码管显示译码器的真值表
输入端 输出端 说明
D3
0
D2
0
D1
0
D0
0
LED6 (a)
1
LED5 (b)
1
LED4 (c)
1
LED3 (d)
1
LED2 (e)
1
LED1 (f)
1
LED0 (g)
0
数码
0
0
0 0 0 0 0 0 1 1 1
0
0 0 1 1 1 1 0 0 0
0
1 1 0 0 1 1 0 0 1
1
1 1 1 1
0
1 1 1 1
1
0 0 1 1
1
0 1 0 1
0
0 0 0 0
0
0 0 0 0
0
0 0 0 0
0
0 0 0 0
0
0 0 0 0
0
0 0 0 0
0
0 0 0 0 无效
BCD-7段数码管显示译码器电路的 引脚功能图
任务2:编写BCD-7段数码管显示 译码器电路的VHDL程序
1.已学的when-else语句、withselect两种语句能否完成BCD-7段数 码管显示译码器电路的设计?

译码显示电路实验报告

译码显示电路实验报告

译码显示电路实验报告译码显示电路实验报告引言:译码显示电路是现代电子设备中常见的一种电路结构,它能够将数字信号转换为可见的字符或数字形式,广泛应用于计算机、电视、手机等设备中。

本实验旨在通过搭建一个简单的译码显示电路,了解其工作原理并验证其功能。

实验材料:1. 译码器:74LS472. 七段数码管:共阳极或共阴极型3. 可调电源4. 连接线5. 电阻:220欧姆实验步骤:1. 连接电路:将译码器和七段数码管连接起来。

根据译码器和数码管的引脚连接图,将它们正确地连接在一起。

2. 连接电源:将可调电源连接到电路中,确保电源的电压和电流适合译码器和数码管的工作要求。

3. 输入信号:通过拨动开关或其他输入设备,输入一个4位二进制数作为译码器的输入信号。

4. 观察显示:观察七段数码管的显示情况,确认其是否正确显示输入的数字。

实验结果:在实验过程中,我们使用了一个共阳极的七段数码管和一个74LS47译码器。

通过连接电路,我们成功地将译码器和数码管连接在一起,并连接了适当的电源。

在输入一个4位二进制数作为译码器的输入信号后,我们观察到七段数码管正确地显示了对应的数字。

讨论:译码显示电路的核心是译码器,它根据输入信号的不同,将其转换为对应的输出信号,以控制七段数码管的显示。

在本实验中,我们使用的74LS47是一种常见的BCD译码器,它能够将4位二进制数转换为七段数码管的控制信号。

在连接电路时,我们需要根据译码器和数码管的引脚连接图来正确连接它们。

特别要注意译码器的极性,确保其正常工作。

此外,电源的电压和电流也需要根据译码器和数码管的工作要求来调整,以避免损坏电路元件。

在实验中,我们可以通过输入不同的二进制数来观察七段数码管的显示情况。

通过对比输入和输出的对应关系,我们可以验证译码显示电路的功能是否正常。

如果出现显示错误或其他异常情况,我们可以检查电路连接是否正确,以及电源是否正常工作。

译码显示电路不仅仅应用于七段数码管,还可以应用于其他类型的显示设备,如液晶显示屏、LED显示屏等。

7段显示译码器设计实验报告

7段显示译码器设计实验报告

数字钟实验报告学生专业:电子信息工程学生班级:151143C学生学号:*********学生姓名:***7段显示译码器设计151143324 ***一、实验目的:学习七段数码显示译码器设计,多层次设计方法和总线数据输入方式的仿真。

二、实验原理:7段BCD码译码器的设计,输出信号Segmentout的7位分别接数码管的7个段,高位在左,低位在右。

例如当Segmentout输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1,接有高电平的段发亮,于是数码管显示数字“5”。

如果是共阳极的数码显示器,则8段输出应取反,段显码为“10010010”,使用时要注意数码管的接法。

实际产品设计中,一般会用到多个数码管,显示几位数字。

4位数的7段数码管,4位数字共用同样的段输出。

若只想让第一个位显示,其他的位不显示,那么可以只给第一位数字供电,其他的断电,用4LED来控制的,4LED输出0001即可,若只让第二位显示则4LED输出0010即可。

这里的4LED选择控制要显示的位,称为位选。

三、实验内容:module BCD_Segment7(BCDin,Segmentout,Select);input[3:0]BCDin;output Select;output [6:0]Segmentout;reg[6:0]Segmentout;always@(BCDin)begincase(BCDin)4'h0:Segmentout=7'b1000000;4'h1:Segmentout=7'b1111001;4'h2:Segmentout=7'b0100100;4'h3:Segmentout=7'b0110000;4'h4:Segmentout=7'b0011001;4'h5:Segmentout=7'b0010010;4'h6:Segmentout=7'b0000010;4'h7:Segmentout=7'b1111000;4'h8:Segmentout=7'b0000000;4'h9:Segmentout=7'b0010000;4'hA:Segmentout=7'b0001000;4'hB:Segmentout=7'b0000011;4'hC:Segmentout=7'b1000110;4'hD:Segmentout=7'b0100001;4'hE:Segmentout=7'b0000110;4'hF:Segmentout=7'b0001110;default:Segmentout=7'bxxxxxxx;endcaseendassign Select=1'b0;endmodule四、时序仿真波形:引脚设定时序仿真波形参考设置:1、设置仿真时间。

FPGA与数字系统设计-实验六7段数码显示译码器设计

FPGA与数字系统设计-实验六7段数码显示译码器设计

7段数码显示译码器设计1、实验目的熟悉ISE系列软件的设计流程和基本工具使用,学习7段数码显示译码器设计,学习VHDL的CASE语句应用。

2、实验内容7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中实现。

本实验中,7段译码器的数码管采用共阴数码管,而且不考虑小数点的发光管。

其输出信号LED7S的7位分别接数码管的7个段,高电平有效。

例如,当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。

3、实验器材Spartan 3E开发板。

4、实验说明实验中所需要的源文件在本报告附录中。

5、实验步骤步骤1:创建ISE工程(1)启动桌面上的ISE9.1图标,在Project Navigator中选择File→New Project。

(2)在弹出的对话框(见图1)中,设置工程名为ymq7s,工程存放路径为E:\work\,顶层模块类型选择HDL,并单击Next按钮。

图1 ISE工程属性对话框(3)出现图2所示对话框,目标器件选择spartan3E,具体设计如下图。

图2 ISE工程属性对话框(4)一直点击Next,直到出现图3(即是刚才所设定的),最后点击Finish。

图3 工程设计总表出现图4,这就是所建立的工程,现在我们需要在里面完成我们的设计。

图4 ISE工程属性对话框步骤2:创建新的VHDL设计文件(1)在ISE用户界面中,选择Project→New Source。

(2)在弹出的对话框(见图5)中,选择VHDL Module作为源程序类型,设置文件名为ymq7s,并单击“下一步”按钮。

图5 VHDL的New Source Wizard(3)点击Next,直到出现图6,直到Finish。

BCD码显示译码器的设计

BCD码显示译码器的设计

BCD码显示译码器的设计在设计BCD码显示译码器时,需要考虑以下几个方面:1.确定编码方式:BCD码可以使用8421编码或2421编码。

在8421编码中,每位十进制数由四位二进制数表示;在2421编码中,0-9的数字用四位二进制数表示,其中10-15的数字使用三位编码。

2.确定输入和输出:BCD码显示译码器的输入是BCD编码,输出是数字或字符表示。

根据需求,可以设计为七段显示器(常用于数字显示)或者数码管(常用于显示字母、符号等)。

3. 设计真值表或Karnaugh图:根据编码方式和输入输出的定义,可以绘制真值表或Karnaugh图来设计译码器。

真值表列出了所有可能的输入和对应的输出;Karnaugh图则将输入和输出进行组织和优化,以简化电路设计。

4. 选择适当的逻辑门:译码器可以使用与门(AND)、或门(OR)、非门(NOT)、与非门(NAND)和异或门(XOR)等逻辑门组成。

根据真值表或Karnaugh图,选择适当的逻辑门以实现所需的函数。

5.需要考虑面向对象方面的设计,根据功能的划分将设计的逻辑块进行模块化。

以下是一个以BCD码8421编码为例的BCD码显示译码器设计示例:输入:BCD码(A3A2A1A0)输出:七段显示器(a-f)其中,每个a-f对应七段显示器的a段到f段。

设计步骤:1.根据输入的BCD码,确定数字0-9对应的输出控制线的状态。

根据8421编码,可以得到如下的真值表:```BCD码,abcdef-------,-----------0000,1111110001,0110000010,1101100011,1111000100,0110010101,1011010110,1011110111,1110001000,1111111001,111001```2.根据真值表,可以使用逻辑门来实现每个控制线的控制逻辑。

这里可以使用与门和或门来实现。

a=A3'A2'A1'A0'+A3'A2'A1A0'+A3'A2A1'A0'+A3'A2A1A0'+A3A2'A1'A0 '+A3A2'A1A0+A3A2A1'A0+A3A2A1A0b=A3'A2'A1'A0+A3'A2'A1A0'+A3'A2A1'A0+A3'A2A1A0'+A3A2'A1'A0'+ A3A2'A1A0+A3A2A1'A0'+A3A2A1A0'c=A3'A2'A1A0+A3'A2A1'A0+A3'A2A1A0'+A3A2'A1'A0'+A3A2'A1'A0+A3 A2'A1A0d=A3'A2A1'A0'+A3'A2'A1A0+A3A2'A1'A0+A3A2'A1A0+A3A2A1'A0'+A3A 2A1'A0+A3A2A1A0'+A3A2A1A0e=A3'A2'A1'A0'+A3'A2'A1A0'+A3'A2A1'A0+A3A2'A1'A0'+A3A2'A1'A0 +A3A2A1'A0'+A3A2A1A0'+A3A2A1'A0'f=A3'A2'A1'A0+A3'A2'A1A0+A3'A2A1'A0+A3'A2A1A0'+A3A2'A1'A0+A3 A2'A1'A0'+A3A2'A1A0+A3A2A1'A0注意:使用上面的逻辑等式时,数字后面的引号表示对应输入取反。

译码显示电路

译码显示电路

实验九译码显示实验电路一、实验目的1. 了解集成74LS248各管脚的功能;2. 掌握译码器和数码管显示器的原理和应用。

二、实验仪器与器件数字电路实验箱1个译码驱动器74LS248 1片共阴极数码管LC5011-11 1个三、实验原理74LS248 (74LS48) 是BCD 码到七段码的显示译码器,它可以直接驱动共阴极数码管。

它的管脚图如图1所示。

74LS248 在使用时要注意以下几点:(a) 要求输入数字0~15 时“灭灯输入端”BI 必须开路或保持高电平。

如果不要灭十进制的0,则“动态灭灯输入”RBI 必须开路或为高电平。

(b) 当灭灯输入端BI 接低电平时,不管其它输入为何种电平,所有各段输出均为低电平。

(c) 当“动态灭灯输入端”RBI 和D、C、B、A输入为低电平而“灯测试端”LT为高电平时,所有各段输出均为低电平,并且“动态灭灯输出端”RBO处于低电平。

(d)“灭灯输入/动态灭灯输出端”BI/RBO开路或保持高电平而“灯测试端”LT 为低电平时,所有各段输出均为高电平(若接上显示器,则显示数字8,可以利用这一点检查74LS248 和显示器的好坏)。

(e) BI/RBO 是线与逻辑,既是“灭灯输入端”BI 又是“动态灭灯输出端”RBO。

2. 数码显示器在数字电路中,常用的显示器是数码显示器。

LC5011-11 就是一种共阴极数码数码显示器。

它的管脚排列如图2所示,X为共阴极,DP为小数点。

其内部是八段发光二极管的负极连在一起的电路。

当在它的a、b、c...g、DP 加上正向电压时,各段发光二极管就点亮,例如当a、b 和c 段为高电平,其它各段为低电平时就显示数码“7”。

共阳极数码显示器的阳极是连在一体的,它的工作情况与共阴极数码管是相反的,它的各段加上低电平时,所对应的发光二极管就点亮。

四、实验内容及步骤1、译码显示的实验电路如图3所示,74LS248 的译码输出端接共阴极数码管对应的段。

七段译码器设计(含程序)

七段译码器设计(含程序)

七段译码器设计一、实验目的1、掌握7段数码管的使用方法,学习数字编码的规则;2、进一步熟悉VerilogHDL语言设计方法。

二、硬件、软件要求计算机、DE2-70开发板、Quartus II软件、电源适配器、下载电缆。

三、实验内容及实验原理(一)实验原理:7段数码管的实物图和笔段名称如图1-2.27所示:图1-2.27段数码管实物及笔段名称图七段译码器的作用就是实现8421BCD码到七段码的转换。

对应于共阴(阳)数码管,其真值表如表1-2.2所示:表1-2.2七段译码器真值表输入BCD码对应显示数字dp g f e d c b a(括号内为共阳编码)0000000111111(11000000)0001100000110(11111001)0010201011011(10100100)0011301001111(10110000)0100401100110(10011001)0101501101101(10010010)0110601111101(10000010)0111700000111(11111000)1000801111111(10000000)1001901100111(10011000)(二)实验内容:1、根据真值表,采用Verilog HDL语言自行设计七段译码器。

2、设计完成后,下载至开发板上实现。

(三)实验要求:1、采用Verilog HDL语言设计方法完成七段译码器设计,写出Verilog程序;2、对程序进行功能仿真,仿真无误后进行管脚分配(输入8421BCD码:SW0-SW3,输出七段码:HEX0_D[0]-HEX0_D[6]),编译后将编程文件下载到DE2-70开发板,进行功能验证,并观察实验结果。

程序设计如下:module decoder7(data_in,data_out);input[3:0]data_in;output[7:0]data_out;reg[7:0]data_out;always@(data_in)begincase(data_in)4'b0000:data_out=8'b01000000;4'b0001:data_out=8'b01111001;4'b0010:data_out=8'b00100100;4'b0011:data_out=8'b00110000;4'b0100:data_out=8'b00011001;4'b0101:data_out=8'b00010010;4'b0110:data_out=8'b00000011;4'b0111:data_out=8'b01111000;4'b1000:data_out=8'b00000000;4'b1001:data_out=8'b00011000;default:data_out=8'b01111111;endcaseend endmodule。

实验三 BCD七段显示译码器

实验三 BCD七段显示译码器

实验名称 BCD七段显示译码器设计指导老师尚丽娜成绩专业电科班级 1102 姓名汪磊学号 31102333一、实验目的1、学习QuartusII软件,学习使用硬件描述语言设计电路。

2、学习DE1平台基本构成,能够使用DE1平台进行简单设计。

二、实验要求使用QuartusII文本输入法设计BCD七段显示译码器。

使用QuartusII软件进行文本输入,并对设计电路进行仿真,并下载到硬件平台,自行定义硬件平台使用端口。

三、实验设备PC机、DE1硬件平台四、实验原理平台上所采用的数码管是共阳的,所以结合硬件我们用VHDL语言来编写数码管的显示程序五、实验过程在实验过程中,我们一开始按照共阴的数码管来写程序,结果实际数码管显示的值与我们设定的刚好相反,所以经过查询电路结构后我们知道了数码管是共阳接法。

具体程序如下所示:Library ieee;Use ieee.std_logic_1164.all;Entity bcdym isPort (a:in bit_vector(3 downto 0);y:out bit_vector(6 downto 0));end bcdym;architecture zhang of bcdym isbeginprocess(a)begincase s iswhen”0000”=>y<=”1000000”;……………………………….……………………………………. ………………………………………………………………………End case;End process;End zhang;六、实验结果七、心得体会操作更加熟练。

BCD七段译码器

BCD七段译码器

)
输出低电平电流(
)
工作环境温度
最小 4.75 2.0
-40
74Ⅱ 参数值
典型 5
最大 5.25
0.8 15 24 -50 3.2 85
电 性 能:(除特别说明外,均为全温度范围)
最小 4.5 2.0
-55
54 参数值 典型
5
最大 5.5
0.7 15 12 -50 1.6 125
Hale Waihona Puke 单位V V V V mA μA mA ℃
动器。低电平有效、高的灌入电流的输出可直接驱动显示器。7 个与非门和一个
驱动器成对连接,以产生可用的 BCD 数据及其补码至 7 个与或非译码门。剩下的
与非门和 3 个输入缓冲器作为试灯输入( )端、灭灯输入/动态灭灯输出(
)
端及动态灭灯输入( )端。
该电路接受 4 位二进制编码—十进制数(BCD)输入并借助于辅助输入端状
54LS247/74LS247
LSTTL 型 BCD—七段译码器/驱动器(OC,15V)
推荐工作条件
符号
参数名称
Vcc VIH VIL VO(OFF) IO(ON) IOH IOL TA
电源电压
输入高电平电压
输入低电平电压
关态输出电压(a~g 输出端)
开态输出电流(a~g 输出端)
输出高电平电流(
Vcc=最大 (
VI=2.7V 除外)
20
IIL
输入低电平电流 Vcc=最大 VI=0.4V
其它输入
IOS
输出短路电流
Vcc=最大 (仅对
VO=0V )
-0.3
ICC
电源电流 Vcc=最大 (注)

BCD七段数码管显示译码器电路

BCD七段数码管显示译码器电路

BCD七段数码管显示译码器电路7段数码管又分共阴和共阳两种显示方式。

如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg 这7个发光二极管的负极连接在一起并接地;它们的 7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是 abcdefg )!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。

如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。

共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。

无论共阴共阳 7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。

发光二极管的工作电压一般在 1.8V--2.2V,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了, 7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数!发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。

分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。

外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。

只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。

图4 - 17( a) 是共阴式LED数码管的原理图,图4-17( b)是其表示符号。

使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17( c)所示。

S4-P数字显示译码器BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4 — 7译码器。

7448译码与数码管显示电路

7448译码与数码管显示电路

7448译码与数码管显示电路1。

7448显示译码器7448 BCD-七段显示译码器具有16引脚.a,b,c,d,e,f,g接数码显示管a,b,c,d,e,f,g:引脚16引脚接5v电源,8引脚接地,LT,RBI,BI/RBO引脚接5v电源,这里5v电源代表逻辑1。

7,1,2,6引脚(对应BCD码的1,2,4,8位)叫BCD码的输入端7448译码器的引脚图7段显示译码器7448是输出高电平有效的译码器,其真值表如下图。

7448译码器的真值表7448除了有实现7段显示译码器基本功能的输入(DCBA)和输出端外,7448还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端. 由7448真值表可获知7448所具有的逻辑功能:(1)7段译码功能(LT=1,RBI=1)在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符.除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。

(2)消隐功能(BI=0)此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0",7段显示器熄灭。

该功能主要用于多显示器的动态显示. (3)灯测试功能(LT = 0)此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与及DCBA输入无关,输出全为“1”,显示器7个字段都点亮.该功能用于7段显示器测试,判别是否有损坏的字段.(4)动态灭零功能(LT=1,RBI=1)此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。

DCBA≠0,则对显示无影响.该功能主要用于多个7段显示器同时显示时熄灭高位的零.2。

BCD七段显示译码器的设计报告

BCD七段显示译码器的设计报告

实验二、BCD七段显示译码器的设计一、实验目的学习利用VHDL语言设计BCD七段显示译码器的方法,掌握BCD七段显示译码器的设计思路:掌握软件工具的使用方法。

二、实验原理三、实验内容1.用VHDL语言设计BCD七段显示译码器,进行编译、波形仿真及器件编程。

(代码一详见附录)产生仿真波形如下:BCD七段显示译码器仿真1分析:问:给定的代码驱动的是共阴极还是共阳极的七段数码管显示器?由BCD七段显示译码器真值表可知是共阴极七段数码管显示器。

2.用VHDL语言设计3-8译码器,进行编译、波形仿真及器件编程。

(代码二详见附录)产生仿真波形如下:3-8译码器仿真1四、实验心得在实验中,通过BCD七段显示译码器真值表真值表得到BCD七段显示译码器case 代码。

同理通过8-3译码器真值表修改BCD七段显示译码器case代码得到8-3译码器case代码。

附录代码一(BCD七段显示译码器代码):library ieee;use ieee.std_logic_1164.all;entity bcdym isport (a:in std_logic_vector(3 downto 0);y:out std_logic_vector(6 downto 0));end bcdym;architecture zhang of bcdym isbeginprocess(a)begincase a iswhen"0000"=>y<="0111111";when"0001"=>y<="0000110";when"0010"=>y<="1011011"; when"0011"=>y<="1001111"; when"0100"=>y<="1100110"; when"0101"=>y<="1101101"; when"0110"=>y<="1111101"; when"0111"=>y<="0100111"; when"1000"=>y<="1111111"; when"1001"=>y<="1101111"; when"1010"=>y<="1110111"; when"1011"=>y<="1111100"; when"1100"=>y<="0111001"; when"1101"=>y<="1011110"; when"1110"=>y<="1111001";when others=>y<="1110001";end case;end process;end zhang;代码二(8-3译码器代码):library ieee; use ieee.std_logic_1164.all;entity bm3_8 isport(a:in std_logic_vector(0 to 2);b:out std_logic_vector(0 to 7)); end bm3_8;architecture zhang of bm3_8 isbeginprocess(a)begincase a iswhen"000"=>b<="00000001";when"001"=>b<="00000010";when"010"=>b<="00000100";when"011"=>b<="00001000";when"100"=>b<="00010000";when"101"=>b<="00100000";when"110"=>b<="01000000";when others =>b<="10000000"; end case;end process;end zhang;。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
可描述组合逻辑、时序逻辑。
常用的顺序描述语句:
赋值语句; if语句;case语句;loop语句; next语句;exit语句;子程序;return语句; wait语句;null语句。
1、变量赋值与信号赋值
变量与信号的差异:
1)赋值方式的不同: 变量:= 表达式; 信号 < = 表达式;
2)硬件实现的功能不同: 信号代表电路单元、功能模块间的互联,
一、项目资讯
1、BCD-7段数码管显示译码器电路的工作原 理。
2、基于FPGA与VHDL的数字电路与数字系 统设计方法与工作流程。
3、WITH-SELECT 语句与WHEN-ELSE语句 及其应用。
4、进程语句、CASE语句、IF语句及其应用。
二、项目计划
• ⑴能在Max+PlusII软件平台上调试BCD-7 段显示译码电路VHDL程序,并进行功能仿 真;
Combinational Process
component
ports
硬件执行:并行执行(VHDL本质) 仿真执行:顺序执行、并行执行 分为两大类:顺序(Sequential)描述语句
并行(Concurrent)描述语句
顺序描述语句: 执行顺序与书写顺序一致,与传统软件设计
语言的特点相似。顺序语句只能用在进程与子程 序中。
process(…) begin
a <= c; ...
end process;
end ex;
例:信号赋值与变量赋值的比较
信号赋值: architecture rtl of sig is signal a,b : std_logic; -- 定义信号 begin
process(a, b) begin
a <= b ; b <= a ; end process ; end rtl ; -- 结果是 a 和 b 的值互换
变量赋值: architecture rtl of var is begin process variable a,b:std_logic; -- 定义变量 begin a := b ; b := a ; end process ; end rtl; -- 结果是a和b的值都等于b的初值
• ⑵能在EDA实验系统上进行硬件验证测试。
本项目涉及的VHDL语法
• VHDL顺序语句(CASE) • 变量与信号的区别 • VHDL并行语句(PROCESS)
VHDLY
ARCHITECTURE
Process
Process
Sequential Process
代表实际的硬件连线; 变量代表电路单元内部的操作,代表暂
存的临时数据。
3)有效范围的不同: 信号:程序包、实体、结构体;全局量。 变量:进程、子程序;局部量。
ARCHITECTURE
{SIGNAL Declarations} label1: PROCESS {VARIABLE Declarations}
例:变量赋值实现循环语句功能
process(indicator, sig) variable temp : std_logic;
begin temp := ‘0’ ; for i in 0 to 3 loop
temp:=temp xor (sig(i) and indicator(i));
end loop ; output <= temp; end process;
如改为信号,则无法实现原功能: …… signal temp : std_logic; …… process(indicator, sig, temp) begin
temp<= ‘0’ ; temp<=temp xor (sig(0) and indicator(0)); temp<=temp xor (sig(1) and indicator(1)); temp<=temp xor (sig(2) and indicator(2)); temp<=temp xor (sig(3) and indicator(3)); output <= temp ; end process ;
begin process(…) begin a <= b; … a <= c; end process;
end rtl;
architecture rtl of ex is signal a : std_logic;
begin process(…) begin a <= b; … end process;

label2: PROCESS {VARIABLE Declarations}
4)赋值行为的不同: 信号赋值延迟更新数值、时序电路; 变量赋值立即更新数值、组合电路。
5)信号的多次赋值 a. 一个进程:最后一次赋值有效 b. 多个进程:多源驱动 线与、线或、三态
例:信号的多次赋值
architecture rtl of ex is signal a : std_logic;
转向控制语句
转向控制语句通过条件控制开关决定是否执 行一条或几条语句,或重得执行一条或几条语句, 或跳过一条或几条语句。
BCD-7段数码管显示译码器电路设计
• 一、项目资讯 请根据要求在EDA实验箱上设计BCD-7段数码
管显示译码器电路,要求: ⑴使用EDA实验箱上开关设置模块的K4、K3、
K2、K1开关作为BCD码输入; ⑵使用EDA实验箱上键盘显示模块中最右边一
位的数码管显示输入的BCD码编码数值; ⑶进行功能仿真。
以上语句等效为: process(indicator, sig)
variable temp : std_logic ; begin
temp := ‘0’ ; temp :=temp xor (sig(0) and indicator(0)); temp :=temp xor (sig(1) and indicator(1)); temp :=temp xor (sig(2) and indicator(2)); temp :=temp xor (sig(3) and indicator(3)); output <= temp ; end process ;
相关文档
最新文档