EDA模块化实验指导书

合集下载

EDA实验指导书_2

EDA实验指导书_2

EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。

实验三8位全加器的设计................................错误!未定义书签。

实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。

实验五十六进制七段数码显示译码器设计.错误!未定义书签。

实验六数控分频器的设计.............................错误!未定义书签。

实验七序列检测器的设计.............................错误!未定义书签。

实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。

最后在实验系统上进行硬件测试, 验证本项设计的功能。

2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。

三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。

四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

EDA实验指导书1209

EDA实验指导书1209

实验一QuartusII软件应用一、实验目的1、熟悉EDA开发平台的基本操作。

2、掌握EDA开发工具的图形设计方法。

3、掌握图形设计的编译与验证方法。

二、实验仪器PC机一台QuartusII软件三、实验内容1、实验原理图:建立一个4-bit 计数器图形设计文件(如图1.1示);图 1.1 图形设计例图利用向导创建一个新器件(6位全加器:使能、流水线等参数自行设定)。

2、实验步骤:①新建一个文件夹,一般在F盘里。

②打开QuartusII软件,选择File/New,在弹出的窗口中选Device Design Files选项卡,再选择Block Diagram/Schematic 选项,单击OK后打开图形编辑窗口。

③选择File/Save As命令,保存文件在已经创建的文件夹里。

当出现询问是否创建工程的窗口,应当单击是进入创建工程流程,否则要重新创建工程把文件添加进去。

④打开工程中的原理图文件,在原理图编辑窗口的任何一个位置右击,将出现快捷菜单,选择Insert /Symbol命令,出现元件输入对话框,选择相应的器件,并连接好电路,然后分别在input和output 的PIN NAME上双击使其变黑色,再分别输入引脚名。

⑤选择Processing/Start Compilation命令,进行全程编译。

⑥打开波形编辑器,选择File/New,在New中选择Other Files中的 Vector Waveform File 选项,单击OK,出现空白的波形编译窗口⑦选择File/Save As命令,存盘。

文件名一定要与原理图文件名一致。

然后添加相应的端口信号节点到波形编辑器中,设置合理的输入信号。

⑧选择Processing/Start Simulation,进行波形仿真。

⑨选择Tools/MegaWizard Plug-In M anager,根据向导提示创建一个6位全加器。

3、实验结果记录:打印出实验原理图与仿真波形图,打印出利用向导创建的新器件的图形,完成实验报告四、实验研究与思考1、延迟时间分析、最高工作频率分析等时间分析有何重要性?2、流水线的作用是什么?对那些性能有影响?2、功能仿真、验证起到什么作用?实验二VHDL软件设计一、实验目的1、熟悉EDA开发平台的基本操作。

电子设计自动化eda实验指导书样本

电子设计自动化eda实验指导书样本

电子设计自动化(EDA)实验指引书前言近些年来,电子设计自动化(EDA)技术发展迅速。

一方面,各种大容量、高性能、低功耗可编程逻辑器件不断推出,使得专用集成电路(ASIC)生产商感受到空前竞争压力。

另一方面,浮现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路设计效率,使更低成本、更短周期复杂数字系统开发成为也许。

于是一场ASIC 与FPGA/CPLD之争在所难免。

然而PLD器件具备先天竞争优势,那就是可以重复编程,在线调试。

EDA技术正是这场较劲推动引擎之一。

普通来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目的器件,以电子系统设计为应用方向电子产品自动化设计过程。

设计者只需编写硬件描述语言代码,然后选取目的器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。

整个过程,大某些工作由EDA软件完毕。

全球许多知名可编程器件提供商都推出了自己集成开发工具软件,如Altera公司MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司Foundation 、ISE软件,Lattice公司ispExpert 软件,Actel公司Libero软件等。

这些软件推出,极大地增进了集算法设计、芯片编程、电路板设计于一体EDA技术发展。

此外,在以SOC芯片为目的器件电子系统设计规定下,可编程器件内部开始集成高速解决器硬核、解决器软核、DSP模块、大量存储资源、高速串行收发模块、系统时钟管理器、多原则I/O接口模块,亦使得设计者更加得心应手,新一轮数字革命由此引起。

EDA技术是一门实践性很强学科,要培养出具备竞争力一流IC 设计人才,动手能力是核心。

只有通过理论学习,加上现场实验,在使用软件编程加硬件调试过程中真正获得锻炼,增长技能。

ZY11EDA13BE型实验系统采用主板加适配板加扩展板灵活构造,可以便进行基于不同PLD芯片实验开发,并易于升级,符合当前高校在此方面对人才培养规定。

EDA实验指导书(已改)

EDA实验指导书(已改)

10-11学年第二学期《EDA实验指导书》(试用)学时:12班级:机电811、812指导教师:孙立香盐城纺织职业技术学院机电系电子教研室目录实验一 Protel 99 SE 启动、建库、文件环境参数设置 (3)实验二熟悉元器件库,编辑简单原理图 (5)实验三电路原理图设计 (6)实验四原理图元件制作 (9)实验五 PCB 设计基础 (11)实验六 PCB 图元件制作 (12)附加印制电路板设计 (14)实验一 Protel 99 SE 启动、建库、文件环境参数设置一、实验目的1 .熟悉 scH 99 的基本界面。

2 .学会文件环境参数设置。

二、实验内容1. 启动 Protel99SE ,建立名为 MYPRO 的文件夹,并在文件夹中建立名为MYFIRST 的设计数据库文件。

提示:单击桌面上的 Protel99SE 快捷图标,进入 Protel99SE 设计环境。

若环境中已经有设计数据库文件,就执行菜单 File/Close Design 将其关闭。

若环境中没有设计数据库文件,就执行菜单 File/New ,在弹出的窗口中,单击Browse 按钮,在弹出的文件名输入窗口中建立 MYPRO 的文件夹,并在文件夹中建立 MYFIRST 的设计文件。

2. 在练习 1 的基础上,建立名为 FIRSCH 的原理图文件,并进入原理图设计窗口。

提示:执行 File/New 菜单,在弹出的窗口中选择 Schematic Document 图标。

3. 设置原理图的图纸尺寸为 A0 ,去掉可视栅格,去掉标题栏。

提示:在原理图设计环境中,执行菜单 Design/Options ,在弹出的窗口中选择 Sheet Options 页面,在页面右上角的 Standard Styles 下拉框中选择A0 。

4. 把光标设置成大十字,并把光标移动到图纸边沿时的移动速度设置为 Auto Pan Recenter 。

提示:设置光标:在原理图设计环境中,执行菜单 Tools/Preferences ,在弹出的窗口中选择 Graphical Editing 页面,然后在该页面 Cursor/Grid Options 区域的 Cursor Type 下拉列表框中选择 Large Cursor 90 。

湖北民院EDA实验指导书

湖北民院EDA实验指导书

第一章MAX+PLUS II操作简介第一节MAX+PLUS II概述Altera公司的MAX+PLUS II 软件是最易学、最易用的可编程逻辑器件开发软件。

其界面友好,集成化程度高。

本教程以MAX+plusⅡ10.2为例讲解该软件的使用。

Altera公司为支持教育,专门为大学提供了学生版软件,其在功能上与商业版类似,仅在可使用的芯片上受到限制。

以下为MAX+plusⅡ10.2所具有的功能:1.1.1 MAX+plus II10.2的功能1、支持的器件:所支持的器件有:EPF10K10, EPF10K10A, EPF10K20,EPF10K30A以及MAX® 7000系列(含MAX7000A, MAX7000AE, MAX7000E, MAX7000S),EPM9320, EPM9320A, EPF8452A, EPF8282A,FLEX 6000/A 系列,MAX 5000系列,ClassicTM系列。

2、设计输入:常用的设计输入方法有:通过MAX+plusⅡ图形编辑器,创建图形设计文件(.gdf); 通过MAX+plusⅡ文本编辑器,使用AHDL语言,创建文本编辑文件(.tdf);使用VHDL 语言,创建文本设计文件( .vhd);使用Verilog HDL语言,创建文本设计文件(.v)。

还可以通过MAX+plusⅡ波形编辑器,创建波形设计文件(.wdf)等。

3、设计编译:通过MAX+plusⅡ编译器完成,可检查项目是否有错,并对项目进行逻辑综合,然后配置到一个ALTERA器件中,同时产生报告文件、编辑文件和用于时间仿真的输出文件。

4、设计校验:通过MAX+plusⅡ的定时分析器进行时序分析、功能仿真、时序仿真和波形分析,生成一些标准文件为其他EDA工具使用。

5、器件编程(Programming)和配置(Cofiguration)。

6、在线帮助。

1.1.2系统要求:MAX+plusⅡ软件对计算机的要求较低:操作系统:Windows 95/98 或Windows NT 4.0;安装所占空间:600Mbytes;内存要求:内存需64M以上。

EDA实验指导书(含目录)QuatursV1.0版分析

EDA实验指导书(含目录)QuatursV1.0版分析

目录第一部分ZY11203E实验系统简介 (1)一、ZY11203E实验系统主板组成 (1)二、ZY11203E实验系统各功能模块介绍 (1)三、实验箱配置说明 (15)四、部分选配的适配板与扩展板功能介绍及使用说明 (15)五、主板系统I/O分布 (19)六、实验注意事项 (25)第二部分实验部分 (27)第一章原理图输入设计方法(组合逻辑) (27)实验一 EDA软件的熟悉与使用 (27)实验二 1位全加器的设计 (27)实验三基本门电路系列 (29)实验四编码器系列 (31)实验五译码器系列 (33)实验六数据比较器系列 (38)实验七数据选择器系列 (41)实验八奇偶校验器系列 (43)实验九七人表决器(组合逻辑应用) (45)第二章原理图输入设计方法(时序逻辑) (47)实验十 2位十进制数字频率计 (47)实验十一基本触发器系列 (50)实验十二数码管显示控制系列 (51)实验十三计数器系列 (54)实验十四寄存器与锁存器系列 (56)实验十五序列信号发生器(时序逻辑应用) (57)实验十六基于LPM_ROM的九九乘法器 (59)第三章VHDL输入设计方法(初级篇) (61)实验十七 VHDL硬件描述语言入门 (61)实验十八逻辑门系列 (62)实验十九基本组合逻辑电路的VHDL模型 (69)实验二十基本时序逻辑电路的VHDL模型 (76)第四章有限状态机(中级篇) (95)实验二十一 Moore型有限状态机的设计 (95)实验二十二 Melay型有限状态机的设计 (98)第五章综合实验(提高篇) (101)实验二十三 8位硬件加法器 (101)实验二十四 8位硬件乘法器 (103)实验二十五数字钟 (105)实验二十六频率计 (107)实验二十七“梁祝”乐曲演奏电路设计 (109)实验二十八 D/A接口电路与波形发生器设计 (111)实验二十九高速A/D采样控制器设计 (113)实验三十ROM设计 (116)实验三十一 RAM设计 (118)实验三十二 FIFO设计 (120)实验三十三键盘控制电路设计 (125)实验三十四带RC的TTL环形振荡器 (128)实验三十五 8人抢答器 (130)第六章扩展实验(高级篇) (132)实验三十六交通灯实验 (132)实验三十七点阵显示实验 (134)实验三十八 PS2键盘接口逻辑设计 (137)实验三十九VGA显示器控制器设计 (139)实验四十RS232通信方式控制电子琴 (142)实验四十一PC机、单片机、CPLD/FPGA双向通信 (144)实验四十二液晶显示控制器 (146)实验四十三电子密码锁实验 (149)实验四十四数字信号单元 (151)实验四十五码形变换 (154)实验四十六数字直接频率合成 (157)实验四十七数字调制解调 (161)实验四十八数字锁相环及位同步提取 (167)实验四十九 QPSK调制解调 (172)实验五十解扰码实验 (177)实验五十一帧同步信号提取单元 (179)实验五十二高速数字相关器设计 (183)实验五十三时分复用 (186)实验五十四差错校验 (192)实验五十五语音录放实验 (198)实验五十六 SPI数据传输语音录放实验 (200)第七章适配板实验(高级篇) (204)实验五十七基于EPM240的全加器实验 (204)实验五十八基于EPM240的反相器实验 (206)实验五十九基于EPM240的数码管显示控制 (208)第一部分ZY11203E实验系统简介一、ZY11203E实验系统主板组成➢通用编程模块➢液晶显示模块➢数码管显示模块➢A/D、D/A转换模块➢LED显示模块➢数字可调信号源➢滤波模块➢信号调节模块➢逻辑笔模块➢配置模块➢模式选择模块➢模拟信号源➢4×4键盘模块➢开关按键模块➢电源模块➢分立元件模块➢喇叭模块➢I/O口插孔➢核心芯片A➢适配板B插座➢扩展板C插座➢40PIN插座二、ZY11203E实验系统各功能模块介绍1、通用编程模块该模块为CPLD/FPGA器件的通用下载电路模块,可以对ALTERA、LATTICE、XILINX 等国际著名的PLD公司几乎所有isp或现场配置的CPLD/FPGA进行编程下载,且能自动识别。

EDA系统板实验指导书-TEST15

EDA系统板实验指导书-TEST15

第一章CPLD系统板说明一、概述EDA实验开发系统是一种多功能、高配置、高品质的EDA教学与开发设备。

适用于大学本科、研究生的EDA教学、课程设计和毕业设计,也适用于大专院校、科研院所做项目开发之用。

该系统采用PLD的独特控制技术,使整个主控制系统在主板上的控制逻辑实现了最优化,减小了系统面积,同时增加了可靠性,使得EDA实验开发系统能满足从简单的数字电路实验到复杂的数字系统设计实验,并能一直延伸到综合电子设计等创新性实验项目。

实验方法上用EDA技术实现传统硬件设计方式,彻底抛弃了传统实验中需要连接大量导线的实验方式。

与有连线操作的实验模式相比,可节省宝贵的实验时间,提高实验效率,并能减少实验故障率。

该系统采用集成稳压电源供电,使电源系统的稳定性大大提高,同时又具备完备的保护措施。

为适应世界上多家PLD公司器件的应用,该系统采用“主板+下载板”双层结构,通过更换不同型号下载板,可与LATTICE、ALTERA、XILINX、AMD等四个著名PLD公司的产品相适配,适应了各院校不同的教学需求。

二、下载板简介本实验系统为用户配备了两块下载板,可以放两块下载板同时作实验。

下载板置于主板的上方,一块是ALTERA的EPM7128SL84-15,另—块是ALTERA的EPF8282ALC84-4,下载板的电源由主板供给,每一块下载板上都设有十针下载插口(下载口旁边还设有LED指示),可从微机并口直接下载程序至FPGA/CPLD。

所有I/O引出脚和全局信号都通过插孔在下载板上引出,供实验开发用。

以上这些功能使得下载板既可与主板配合形成一个实验系统,也可作为一个理想的开发工具独立使用。

下载板是实验系统的核心,可在EDA实验系统的左边和右边各放一块。

每一块下载板上都有—个十针下载口与微机的并口相连接,由开发系统将设计文件编程(俗称下载)到CPLD/FPGA芯片中;为适应不同的PLD厂商及不同型号CPLD/FPGA,设计了不同的下载板。

EDA实验指导书全

EDA实验指导书全

实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。

2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。

步骤一:1、建立工程,设计输入。

选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。

Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。

不作任何选择。

4、完成设置点击“Next”后,完成工程的设定,点击“finish”。

步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。

VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

KX_DN系列实验指导书修改日期:2015年9月河南工业大学焦素敏第1章模块化创新设计综合实验开发系统1.1 康芯的KX-DN型模块化综合创新实验开发系统的特点诸如EDA、单片机、DSP、SOPC等传统实验平台多数是整体结构型的,虽也可完成多种类型实验,但由于整体结构不可变动,故实验项目和类型是预先设定的、固定的,很难有自主发挥的余地,学生的创新思想与创新设计如果与实验系统的结构不吻合,便无法在此平台上获得验证;同样,教师若有新的联系教学实际的实验项目,也无法融入固定结构的实验系统供学生实验。

因此,此类实验平台不具备可持续发展的潜力,没有自我更新和随需要升级的能力,用几年后只能被淘汰。

模块自由组合型创新设计综合实验开发系统很好地解决了这些问题,成为高校目前十分流行的实践平台,其主要特点是:◆由于系统的各实验功能模块可自由组合、增减,故不仅可实现的实验项目多,类型广,更重要的是很容易实现形式多样的创新设计;◆由于各类实验模块功能集中,结构经典,接口灵活,对于任何一项具体实验设计都能给学生独立系统设计的体验,甚至可以脱离系统平台;◆面对不同的专业特点,不同的实践要求和不同的教学对象,教师,甚至学生自己可以动手为此平台开发增加新的实验和创新设计模块;◆由于系统上的各接口,以及插件模块的接口都是统一标准的,康芯提供所有接口电路,因此此系统可以通过增加相应的模块而随时升级。

每套设备基本配件有:●双功能USB接口下载器一台及USB线一根,●电源线一根●RS232串口一根●十芯线数根(根据用户模块数量配置)●十四芯线一根,单根线数根。

1.2 康芯的KX-DN型主系统平台为了使实验系统能更好地实现实验者自主创新能力和工程实践能力的提高,KX_DN系统采用了模块化结构,在如图1-1所示的系统平台上安排较多数量的实验模块插座,使得各类功能模块即能插于主系统上,构建一个更大的设计结构,也可脱离主板系统,单独构建独立系统,以使实验者能更好地体会自主系统设计的过程。

以下对主系统(主板为:MN8VV)做说明,说明采用标注形式表达。

1.2.1 A类实验模块可插的26针双插座标注“1”、此座用作专门插DDS模块,和“A9”座靠近,二者同时只能用其一,具体DDS功能请参考以下”DDS模块说明“。

标注“27”是插彩色液晶专用座,左插标“COLOR LCD PORT1”,右插A1_B”或“COLOR LCD PORT2”标注“2、25、7、26、15、17、18、23、24”分别为A座,每个含两个26针。

A类座它们的尺寸大小,结构布置和信号安排大致相同。

所以以下所述的多数实验功能模块可以随意插在这9个插座中任何一个位置上,这为实验系统的灵活构建奠定了基础。

但如果仔细观察,就会发现这9套插座的信号配置也稍有不同之处,所以对于不同的实验模块,以及不同的实验需求,应该具体考虑实验模块所插的位置。

这9个插座的信号相同处与不同处主要表现于以下方面:图1-1 KX_DN主系统平台1、9个A类插座的相同处是,在相同的信号脚上都含有地GND和工作电源VCC(+5V);2、第一个不同处是时钟信号的布置:含有20MHz和8Hz信号的插座有一个,即A4插座。

有的功能模块上需要此频率的时钟信号,如FPGA模块和单片机模块等,通过针向插在此座上的模块输送频率。

含有10MHz和8Hz信号的插座有3个,即A5、A7、A8插座。

实验中插功能模块时,也要根据模块的具体情况来确定实验模块插在哪里最合适。

例如A8上插含ADC0809最合适,因为0809需要一个500kHz 的工作时钟(当然也可通过FPGA的锁相环给出的时钟),这就无需此625k时钟了。

注意,在插座上安排的时钟,通常与特定实验模块中对应的插针吻合,具体的模块上会有说明。

3、第二个不同处是+/-12V电压的设置。

为了防止由于不当心的差错(尽管每一模块已经有防插反措施),造成烧毁器件,所以只安排了插座A5、A8有+/-12V电压。

布置此电压的插座主要是为了某些需要此电压的模块,如A/D的D/A模块等。

所以对于需要+/-12V高压的模块必须插于A5、A8座上。

注意,通常,推荐插座A3上插20字X4行字符型液晶,插座A6上插4X4键盘,这样有利于板上的DDS函数信号发生器的使用。

1.2.2 B类实验模块可插的10针双插座标注“5、12、13”为B座,此类插座有3个,每个含两个10针的插座。

它们的尺寸大小,结构布置和信号安排也基本相同。

一些实验功能模块必须插在此类插座上。

注意,其中B4座含有更多的信号,除GND和VCC外,10MHz时钟信号。

在实验前应该充分了解这些座上的信号布置,以便安排接插适当的实验功能模块。

当然实验者也可根据插座的信号设定和插座尺寸,自己来设计需要的实验模块。

1.2.3 主系统其他接口说明1、标注“3”是扬声器,通过标注“20”接口输入,可实现对其控制。

2、标注“4”是DDS函数信号发生器模拟信号输出通道的B通道之信号口。

如果需要得到B通道的模拟信号输出,必须将此B通道口用信号线与某一DAC的输入接口相连,然后得到输出信号。

3、标注“6”是用于调谐输出模拟信号的幅度。

4、标注“8”是用于调谐输出模拟信号的偏移电平。

5、标注“9”此是DDS函数信号发生器的TTL信号输输入口。

6、标注“10”是DDS函数信号发生器模拟信号输出通道的A通道(此信号发生器可以输出双通道模拟信号),如正弦波信号等,幅度最大+/-10V,可通过电位器调谐。

7、标注“11”是DDS函数信号发生器的TTL信号输输出口。

8、标注“14”是8个上下拨动开关输出端,用于为实验提供高低电平。

开关向上拨时,输出高电平;向下拨时则输出低电平。

输出电平从右侧的端口J7十针口输出,此口标注的端口标号(如L1)对应开关处所标相同的标号。

9、标注“16”是下方发光管控制端口,可更具标识和每个发光管一一对应。

10、标注“19”电源输出端,标准电压源有4个,即2.5V、3.3V、5V、+/-12V。

除了以上模块插座上安排了某些电源外,还在实验平台的下方设置了这四个电压源的插口,以便在必要时用插线引出。

在这四个电源中,2.5V、3.3V、5V来自开关电源,此电源含短路保护,而+/-12V来自单独的电源,其保护熔丝(两个)设于实验平台的下侧。

11、标注“20”是上方扬声器的控制端口,通过这个端口,其中任意一个可对扬声器进行控制。

12、标注“21”多功能逻辑笔测试端口,用于测试实验系统上的电平情况。

此笔的信号输入口是J4的任何一端口。

可测试高电平、低电平、高阻态、中电平(1.5V< x <3.1V,这是一个不稳定电平)、脉冲信号。

13、标注“22”是含0.5Hz至50MHz多个标准频率,可通过插线将这里的时钟信号引到需要的实验模块中。

对于诸如频率计设计,特定的功能模块设计都会需要这些标准频率信号。

注意:模块板插到主系统各个座上的时候,一定确认未插反或错位,否则因为电源位置不对,特别是高压+-12V而导致烧坏器件。

防止插反或错位,在每组座的左边内侧从上而下第六根针是故意拔掉,但不能保证一定不会插反或错位。

第2章KX_DN系统主要实验功能模块本章主要介绍KX_DN系统主要配套实验功能模块。

这些模块可以是系统的配套模块,也可以是定购模块,或是根据此系统的接插口及开发项目需要,自己设计出的模块。

因此在KX_DN系统上用于完成不同类型的实验和设计的模块数量和种类没有任何限制。

这里仅将一些主要和核心的功能模块的结构特点和使用注意作一些介绍。

至于对于这些模块更加详细的了解和熟练的应用必须通过实际使用后才能实现。

应该注意,这些模块有一个共同特点,即他们可以插于KX_DN系统上组合成设计系统进行实验,也可脱离实验平台构成独立的模块和模块组合进行更加实际的系统,这是KX_DN系统的主要特点。

KX-DN实验平台上的实验模块之间的连接方式主要采用十芯线连接,为了用户使用简单方便,每个模块的控制及数据端口全部外引,大多数是十芯座为一组,所有模块都标准化,每个十芯座有10根针,中间的两个针分别是”VCC”和“GND”,其他8根针全部是用来引脚号,全部在旁边标出,用户在使用时,用十芯线连接,根据每根针所在的位置一一对应锁定引脚号即可。

为了用户快捷了解核心板及扩展板的接口功能,采用注解的方式进行介绍。

其中FPGA板标注方式采用统一注号形式,以下标注说明对FPGA板3C10/40/55/4CE22板都适用。

2.1大规模FPGA模块图2-1是Cyclone III FPGA EP3C40Q240核心板,核心板包含☆CycloneII I EP3C40Q240 FPGA(39600个逻辑宏单元、4个锁相环,约300余万门、约116万RAM bit)。

☆FPGA配置Flash EPCS16(16Mb)、1.2V、2.5V和3.3V电压源、USB接口作电源接口。

☆SDRAM 32M字节(主要用于SOPC系统设计)、CPLD EPM3032A、1602字符液晶显示器☆JTAG口。

SOF文件下载,内部RAM编辑检测、CPU的软件调试、配置Flash EPCS16的编程都通过此口。

注意,EPCS16的编程应该通过JTAG口进行间接编程(间接编程方法可参考配套教材)。

核心板上未安排AS模式编程口是为了提高板的抗干扰能力,EPCS16的工作可靠性。

☆4个键、一个4位拨码开关、字符液晶接口、多个I/O接出口、专用时钟口、USB电源线等。

☆20MHz时钟源。

时钟源与此FPGA中的第一个锁相环的时钟输入口相接。

每一锁相环的倍频范围是2KHz至1300MHz。

FPGA还包含 8051/52 IP核。

提供商业级全兼容MCS-51单片机IP核。

利用此核,实验者可以实现传统单片机实验系统无法达到的SOC(片上系统)设计。

即将单片机CPU、RAM、ROM以及其它各类接口电路模块设计在同一片FPGA中。

此类技术对于面向高新技术企业的就业十分必要。

8088、8086 CPU IP 核。

8255A IP核模块;8255A IP核(I/O接口);8253/8254 IP核(定时器);8250 IP核(UART串行通信);8237 IP核(DMA控制器);8259 IP核(可编程中断控制器),以及基于FPGA的RAM/ROM核、锁相环核等。

这些IP核与8088CPU核相结合就能在单片FPGA中构成一个微机系统,从而学习到实用的SOC 设计工程技术。

FPGA中的8088核与MCS-31单片机核及其中的各种模块和核都能与以下各模块结合,实现不同类型的实验开发。

标注“1”是JTAG口,通过此口可对FPGA编程下载,本公司提供USB下载器,可采用sof和jic 对FPGA编程下载和掉电保护EPCSx进行编程。

相关文档
最新文档