数字电路课程设计汽车尾灯控制范本
数电课程设计方案——汽车尾灯控制电路论文
个人收集整理仅供参考学习(一)设计要求假设汽车尾部左右两侧各有3个指示灯 ( 用发光二极管模拟) 1. 汽车正常运行时指示灯全灭;2. 右转弯时 ,右侧 3个指示灯按右循环顺序点亮; 3. 左转弯时左侧3个指示灯按左循环顺序点亮; 4. 临时刹车时所有指示灯同时闪烁 .(二)汽车尾灯控制电路设计原理(1)列出尾灯与汽车运行状态表 ( 见表 1-1)汽车尾灯和汽车运行状态关系表(1-1 )开关控制运行状态左尾灯右尾灯S1 S0D4 D5 D6D1 D2 D30 0正常运行灯灭灯灭0 1右转弯灯灭按 D1 D2 D3 顺序循环点亮1 0左转弯按 D4 D5 D6顺序循环点亮灯灭1 1临时刹车所有地尾灯随时钟CP同时闪烁(2)设计总体框图由于汽车左右转弯时, 三个指示灯循环点亮, 所以用三进制计数器控制译码器电路顺序输出低电平, 从而控制尾灯按要求点亮. 由此得出在每种运行状态下, 各指示灯与各给定条件(S1、S0、 CP、Q1、Q0)地关系 , 即逻辑功能表如下表(1-2 )所示 ( 表中 0表示灯灭状态 ,1 表示灯亮状态). b5E2RGbCAP汽车尾灯控制逻辑功能表(1-2 )个人收集整理仅供参考学习由尾灯控制逻辑功能表得出总体框图, 如下图( 2-1 )所示 .汽车尾灯控制电路原理框图(2-1 )(3)设计单元电路a. 三进制计数器电路可由16进制计数器 74LS161构成 , 如下图所示 .GNDU193A QA1429VCC4B QB1330GND5C QC12U20D611D QDVCC7ENPRCO15105V ENT9~LOADGND1~CLR74LS00D 312CLKGNDV174LS161D200Hz345Vb. 汽车尾灯电路如图( 3-1 )所示 , 其显示驱动电路由 6个发光二极管和 6个反相器构成;译码电路由 3-8线译码器 74LS138和 6个与非门构成 .74LS138 地三个输入端A2、A1、A0分别接 S1、Q1、Q0,而 Q1Q0是三进制计数器地输出端 . 当S1 = 0、 S0 = 1, 使能信号 A=G=1,计数器地状态为 00,01,10 时 ,74LS138 对应地输出端 0Y,1Y,2Y 依次为 0有效(4Y,5Y,6Y信号为“ 1”无效 ), 即反相器 G1~G3地输出端也依次为0, 故指示灯 D1→D2→D3按顺序点亮示意汽车右转弯 . 若上述条件不变 , 而 S1=1、 S0=0, 则 74LS138对应地输出端4Y、 5Y、 6Y依次为 0有效 , 即反相器 G4~G6地输出端依次为 0, 故指示灯 D4→D5→D6按顺序点亮 , 示意汽车左转弯. 当 G=0,A=1时 ,74LS138 地输出端全为 1,G6~G1地输出端也全为1, 指示灯全灭灯;当G=0,A=CP时 , 指示灯随 CP地频率闪烁 . p1EanqFDPw个人收集整理仅供参考学习汽车尾灯电路(3-1 )c. 开关控制电路. 设 74LS138和显示驱动电路地使能端信号分别为G和 A, 根据总体逻辑功能表分析及组合得 G、 A与给定条件 (S1 、 S0、CP)地真值表 , 如表( 3-2 )所示 .DXDiTa9E3d S1,S0,CP 与 G,A 逻辑功能表( 3-2 )由表( 3-2 )经过整理得逻辑表达式为由上式可得开关控制电路个人收集整理仅供参考学习(4)汽车尾灯总体电路VCCR1R2R3R4R5R6VCC5V200|?200|?200|?200|?200|?200|?789101112LED1LED2LED3LED4LED5LED6131415161718U1D U2D U3D U4D U5D U6D74LS04D74LS04D 74LS04D 74LS04D 74LS04D74LS04DXSC1 653421Ext Trig+U7D U8D U9D U11D U10D U12D 74LS00D74LS00D 74LS00D 74LS00D 74LS00D74LS00D_ AB+_+_21222325192024U14D5 4 3 2 10774LS00D1 1 1 1 11 90 123 4 5 67YYYYYYYY26U13A B74LS138D2 21 G GU15D 28GND A B C G ~ ~GND74LS04DU191 2 364 5GNDGND 293627VCC314U20D GND 4A QA1330GND B QB12U16D U18D U17C5C QC61174LS86D74LS00D 74LS10DD QD7ENP RCO1574LS00D5V VCC ENT103132GND1~CLR VCC9~LOADGNDR7J2R8VCC 2CLK VCCV1200m|?200|?VCC 200 Hz74LS161D5V J15V5 V Key = A Key = S34GND GNDGND GND( 二) 汽车尾灯控制电路仿真运行( 图中 S1=A,S0=S)( 1)当汽车正常运行时,S1=S0=0, 使 G=0,A=1,74LS138 地输出端全为1,G6~G1 地输出端也全为1, 指示灯全灭灯 . RTCrpUDGiTVCCR1R2R3R4R5R6VCC5V200|?200|?200|?200|?200|?200|?789101112LED1LED2LED3LED4LED5LED6131415161718U1D U2D U3D U4D U5D U6D74LS04D74LS04D74LS04D74LS04D74LS04D74LS04DXSC1 653421U7D U8D U9D U11D U10D U12D 74LS00D74LS00D74LS00D74LS00D74LS00D74LS00DExt Trig+_ AB+_+_21222325192024U14D 543 2 1074LS00D 111 1 11 9701234567YYYYYYYY26U13A B74LS138D221 G G U15D28A B C G ~ ~GND GND74LS04DU1912364 5GNDGND 3627VCC3143029U20D GNDA QA4B QB13GND U16D U18D U17C 5C QC126D QD1174LS86D74LS00D 74LS10D7ENP RCO 1574LS00DVCC5V10ENT31932GND~LOADVCC35 1~CLRR7J2R8GND VCC 2CLK VCCV1200m|?200|?VCC 200 Hz74LS161D5V J15V5 V Key = A Key = S34GND GNDGND GND( 2)当汽车左拐时 ,S1=1,S0=0 时 , 使得 A=G=1, 74LS138 对应地输出端 4Y 、 5Y 、 6Y 依次为 0 有效 , 即反相器G4~G6地输出端依次为 0, 故指示灯 D4→D5→D6 按顺序点亮 , 示意汽车左转弯 . 5PCzVD7HxAVCCR1 R2 R3 R4 R5R6 VCC5V200|? 200|? 200|? 200| ? 200|? 200|? 7 8 9 10 11 12LED1 LED2 LED3 LED4 LED5LED6 13 14 15 16 1718U1D U2D U3D U4D U5D U6D74LS04D 74LS04D 74LS04D 74LS04D 74LS04D 74LS04DXSC16 5 3 4 2 1Ext Trig+U7DU8DU9DU11DU10DU12D74LS00D74LS00D 74LS00D 74LS00D 74LS00D74LS00D_A B+_+_21222325192024U14D 5 4 3 2 1 09 71 1 1 1 1 174LS00D0 1 2 3 4 5 6 7YYYYYYYY26U13A B74LS138D2 21 G GU15D 28 GNDA B C G ~ ~GND1 2 36 4 574LS04DU19GNDGND3627VCC3 A QA 1429U20DGND4BQB1330GND 12U16D U18D U17C5 CQC6 D QD1174LS86D 74LS00D 74LS10D74LS00D7 ENP RCO 155V VCC 1031ENT32GND9~LOADVCC1 35~CLRJ2GND2CLKVCCR7R8 VCCV1200m| ?200|? VCC200 Hz74LS161D5V J1 5V 5 VKey = AKey = S34 GND GNDGNDGND(3)当汽车右拐时 ,S1=0,S0=1 时 , 使能信号 A=G=1,计数器地状态为 00,01,10 时 ,74LS138 对应地输出端0Y,1Y,2Y依次为0 有效 (4Y,5Y,6Y信号为“ 1”无效),即反相器G1~G3 地输出端也依次为0, 故指示灯D1→D2→D3 按顺序点亮示意汽车右转弯. jLBHrnAILgVCCR1R2R3R4R5R6VCC5V200|?200| ?200| ?200|?200| ?200|?789101112LED1LED2LED3LED4LED5LED6131415161718U1D U2D U3D U4D U5D U6D74LS04D74LS04D74LS04D74LS04D74LS04D74LS04DXSC1653421Ext Trig+U7D U8D U9D U11D U10D U12D 74LS00D74LS00D74LS00D74LS00D74LS00D74LS00D_ AB+_+_21222325192024U14D 5432109774LS00D 1111110 123 4 5 6 7YYYYYYYY26U13A B74LS138D22A B C1 G GU15DG ~ ~28GND GND74LS04DU19123645GNDGND 362731429U20DA QAVCC30GND 4B QB13GND U16D U18D U17C5C QC126D QD 1174LS86D74LS00D 74LS10D71574LS00DENP RCOVCC5V10ENT31932 GND~LOAD VCC135~CLRVCC R7J2R8GND2CLK VCC V1200m| ?200| ?VCC 200 Hz74LS161D5V J15V5 V Key = A Key = S34GND GNDGND GND个人收集整理仅供参考学习( 4)当汽车刹车时 ,S1=S0=1 时 ,G=0,A=CP, 指示灯随 CP 地频率闪烁 .VCCR1 R2 R3 R4 R5 R6200|? 200| ? 200| ? 200|? 200| ? 200|?7 8 9 10 11 12 LED1 LED2 LED3 LED4 LED5LED6 131415161718U1D U2D U3D U4D U5DU6D74LS04D74LS04D 74LS04D 74LS04D 74LS04D74LS04D6 5 34 2 1U7DU8DU9DU11DU10DU12D 74LS00D74LS00D 74LS00D 74LS00D 74LS00D74LS00D2122232519 2024U14D5 4 3 2 1 09 7 74LS00D1 1 1 1 1 10 1 2 3 4 5 6 7YYYYYYYY26U13A B74LS138D2 2A B C1 G GU15DG ~ ~28 GND1 2 36 4 5 GND74LS04DU19GND3627314 29U20DVCC4 A QA 13 30GNDB QB 12 U16D U18D U17C5 CQC6 DQD1174LS86D 74LS00D 74LS10D7 ENP RCO 1574LS00DVCC5V10 ENT3193235GND~LOADVCC1 ~CLRVCCR7J2R8GND2CLKVCCV1200m| ?200| ? VCC200 Hz74LS161D5V J15V5 VKey = AKey = S34GND GNDGNDGNDVCC5VXSC1Ext Trig+_AB+_+_GNDGND(三)元器件列表名称参数及数量发光二极管六支译码器74LS138 一片计数器74LS161 一片TTL 反向器74LS04 七个与非门74LS00 十个异或门74LS86 一个电阻200 欧八个直流电源VCC-5V 四个信号发生器方波( 5V,200HZ)开关两个(四)设计总结:这次设计是通过查阅各种资料、与同学讨论以及独立思考设计出来地.在设计过程中,我用到了本学期所学过地同步计数器74LS161 和译码器74LS138. 因此 ,我对它们地功能和运用有了更深一步地了解.同时通过Multisim软件对电路进行模拟仿真,从而使设计结果得到了验证.通过这次课程设计环节,使我了解到模拟电路和数字电路之间地联系,使我对单元功能电路地理解和运用能力有了一定地提高.优点:基本实现汽车在运行时尾灯点亮方式地基本情况.xHAQX74J0X设计中地不足:由于行车时都是开关控制,所以每一个开关都应该有一个消除机械振动地装置,可以用基本SR 触发器来实现.所以在时间允许地情况下,可以对这一不足进行改良,从而使整个系统更加可靠.LDAYtRyKfE(五)参考文献1.康华光、陈大钦等编《数字电子技术第四版》高等教育出版社 ,1999.62.阎石.数字电子技术基础(第五版[M]. 北京:清华大学出版社,2006.53.谢自美.电子线路设计、实验、测试[M] .北京:高等教育出版社, 2001版权申明本文部分内容,包括文字、图片、以及设计等在网上搜集整理. 版权为个人所有This article includes someparts, including text, pictures, and design. Copyright is personal ownership.Zzz6ZB2Ltk用户可将本文地内容或服务用于个人学习、研究或欣赏,以及其他非商业性或非盈利性用途,但同时应遵守著作权法及其他相关法律地规定,不得侵犯本网站及相关权利人地合法权利 . 除此以外,将本文任何内容或服务用于其他用途时,须征得本人及相关权利人地书面许可,并支付报酬 . dvzfvkwMI1Users may use the contents or services of this article for personalstudy, research or appreciation, and other non-commercial or non-profitpurposes, but at the same time, they shall abide by the provisions ofcopyright law and other relevant laws, and shall not infringe upon thelegitimate rights of this website and its relevant obligees. In addition, whenany content or service of this article is used for other purposes, writtenpermission and remuneration shall be obtained from the personconcerned and the relevant obligee.rqyn14ZNXI转载或引用本文内容必须是以新闻性或资料性公共免费信息为使用目地地合理、善意引用,不得对本文内容原意进行曲解、修改,并自负版权等法律责任. EmxvxOtOcoReproduction or quotation of the content of this article must bereasonable and good-faith citation for the use of news or informative public free information. It shall not misinterpret or modify the original intention of the content of this article, and shall bear legal liability such ascopyright.SixE2yXPq5。
数电课程设计汽车尾灯控制系统
课程设计报告设计题目:汽车尾灯控制系统班级:计算机1206班学号: 2012XXX姓名: XXX指导教师:马学文设计时间: 2014年8月摘要在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。
如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。
因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。
本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。
整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。
分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。
本次报告详细讲解了该系统的设计思路及其具体的实现过程。
关键词: 计数器、译码器、定时器、时钟脉冲目录摘要 2 第1章概述4第2章课程设计任务及要求42.1 设计任务 4 2.2 设计要求 4 第3章系统设计43.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择 10 第4章软件仿真114.1 仿真电路图 11 4.2 仿真过程 13 4.2 仿真结果 15 第5章安装调试175.2 安装调试过程 175.3 故障分析 17 第6章结论18第7章使用仪器设备清单19参考文献19收获、体会和建议20第1章概述汽车尾灯控制系统的电路是十分常用的工作电路,在我们日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。
设计一个汽车尾灯控制系统,技术指标如下:假设汽车尾部左右两侧各有3个指示灯(用6个小灯泡模拟);汽车正常运行时指示灯全灭;汽车左转弯时,左侧3个指示灯按左循环顺序点亮;汽车右转弯时,右侧3个指示灯按右循环顺序点亮;临时刹车时所有指示灯同时闪烁。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
数字电路课程设计(汽车尾灯控制)
西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模 拟〉,当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺 序点亮<R|f Ri R 2f R i R 2R 3f 全火f R i )时间间隔 0.5S <米用一个 2HZ 地方波 源);在左转弯时,左侧3个指示灯按左循环顺序点亮<L |f L i L 2f LiLL^f 全火f L i );在临时刹车或者检测尾灯是否正常时 ,所有指示灯同时点亮VRR2R 3 L 1L 2L 3 点亮);当汽车后退地时候所有灯循环点亮;当晚上行车地时候汽车灯地最下 一个灯一直点亮.b5E2RGbCAP利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时 所有 灯点亮地次序和是否点亮是不同地,所以用74138译码器对输入地信号进行译码, 从而得到一个低电平输出,再由这个低电平控制一个计数器 74160,计数器输出为 高电平时就点亮不同地尾灯 <这里用发光二极管模拟),从而控制尾灯按要求点 亮.由此得出在每种运行状态下,各指示灯与给定条件间地关系,即逻辑功能表1 所示.汽车尾灯控制电路设计总体框图如图 1所示.piEanqFDPw开关控制汽车运云行状态 右转尾灯 左转尾灯3 S i S> 汽车运行」状态 R i R 2R 3L i L 2L 30 0 0 正常运行灯火 灯火0 0 1 左转弯 灯火按L i L 2L 3顺序循环点亮0 1 0 右转弯 按R1F 2F 3顺序循环点亮灯火0 1 1临时刹车/检测所有尾灯冋时点亮 1 0 0 倒车 所有尾灯按照转弯次序点亮1 01晚上行车时R 3,L 3 一直点亮译码电路74138DXDiTa9E3d图1汽车尾灯控制电路设计总体框图设计条件显示驱动电路R i R 2R 3 L i L 2L 3脉冲产生电路555 f*记数电路74160开关控制电路四、设计内容⑤⑥<一)■分步设计:1 •时钟信号源<CLK设计:①.设计说明:由于汽车灯是地点亮是给人地不同地信息及该车将要发生地动作,所以汽车地灯在闪烁地时候不能超过一定地频率,但是频率也不能太小,所以我们在设计地时候是采用地555定时器设计地一个脉冲产生源,占空比约为50%,它产生地频率f约为2HZ然后通过计数器就能控制汽车灯在循环点亮地时候时间间隔约为0.5S这样就能让人很清楚地明白该汽车地动作以采取相应地动作从而避免交通事故地发生.RTCrpUDGiT②.设计计算公式<对应右图):高电平时间:tM 77(R I R2)C低电平时间:t PI=0.7 R2C占空比:D 如R—t ph+tm R i +2R2如右图所示为在multisim 7.0里仿真时地实际连接电路.其中端口3为输出端,使用地时候只要将端口3接到CLK端即可.5PCzVD7HxA③.设计最后图形如右图所示:Rl 30K高电平时间t ph=250-0ms低电平时间tm =213.9ms占空比D=53.8% 频率f=2.158Cl12uF==555 3C2lOnF J8762C5 1C2lOnF 工9 VccR2812K2555定时仿真结果图形如右图所示:分析:根据右图分析结果,与预期结果一直,故设计正确.2.主电路设计:①.设计说明:实现地主要功能是通过开关控制从而实现汽车尾灯地点亮方式•根据表1具体实现如下:当& $ S O =000时候汽车处于正常行驶,尾灯完全处于熄灭状态,所以通过74138译码后为“ 11111110”不做任何处理jLBHrnAILg当S2S1 S0 =001时候汽车左转,所以汽车尾灯地左面3个灯按照L1—L1L2—L1L2L s f全火f L1 .... 顺序循环点亮,具体实现是通过74138对“ 001”译码为“ 11111101 ”然后通过译码后地低电平Y1通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—0001VL 点亮)f 0010<L1L2 点亮)f 001KL1L2L3 点亮)f 0100<异步清零0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. XHAQX74J0X当S2S S0 =010时候汽车右转,所以汽车尾灯右面3个灯按照R1f R1R2fR1R2Rsf全灭f R1……顺序循环点亮,具体实现是通过74138对“010”译码为“ 11111011”然后通过译码后地低电平Y2通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)f 0001<R 点亮)f 0010<R1R2 点亮)f 001KR1R2R3 点亮)f 0100<异步清零)f 0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. LDAYtRyKfE当S2 S i S o =011时候汽车处于刹车状态或者处于检测汽车尾灯是否正常,所以汽车地尾灯全亮,具体实现是通过74138对“ 011”译码为“ 11110111 ”然后通过译码后地低电平Y3通过一个非门直接控制所有地尾灯点亮.Zzz6ZB2Ltk 当9 S1 S0 =100时候汽车处于倒车状态,倒车地时候汽车所有地尾灯按照转弯时候地顺序点亮灯.具体实现是通过74138对“ 100”译码为“11101111” dvzfvkwMI1然后通过译码后地低电平Y4通过一个非门控制另外一片计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—000<R,L1 点亮)—0010<R1 甩山匕点亮)—0011VR1R2R3.L1L2L3 点亮)—0100<异步清零)-0000……循环计数就实现了循环点亮地这个过程•由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. rqyn14ZNXI当S2 s S0 =101时候汽车处于晚上行车状态,此时汽车尾灯地左右两端地最下面一个灯一直点亮即L3.R3 一直处于亮地状态•具体实现是通过74138对“ 101 ”译码为“ 11011111 ”然后通过译码后地低电平Y5通过一个非门直接控制L.3.R3 点亮.EmxvxOtOco②.主电路地仿真:分步仿真:⑴.汽车左转弯地仿真.在multisim 7.0下<以下地仿真都是在这个软件下,并且都是功能仿真)地仿真地电路图和波形.SixE2yXPq5VC:gmT sv:a£27V72□图3•左转弯仿真波形仿真波形分析:如图3所示当S 2 S i S 0 =001时候 w L i 变化顺序为: 000-»001 011 1+ 00叶由于输出为高电平时灯亮,所以尾灯地点亮方式 为:L | — L i L z — L | L 2L 3 T 全火—L i 6ewMyirQFLS2 S i S 0 =000地时候R R 2R i ,L i L ?L 3恒为000,所以所有灯熄灭与实际相符合 所以正确.kavU42VRUs⑵.汽车左右转弯仿真.图5.左右转弯仿真波形波形分析:根据图5有当S2Si S 0=001时候,L 3L ? L i 变化顺序为:000~P0i -011 11L000RR^R i =000由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L i — L 1L 2TL 1L 2L 3T 全灭T L i ……R 3 R?R i 恒为熄灭;y6v3ALoS89S2 S i S 0 =010地时候,R 3 R?R i 变化顺序为:000-001 -011 11L0001t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R i T R i R p T R i R 2 R 3T 全火T R i ........ L i L 2L 3 恒为熄火.M2ub6vSTnP S2 S i S 0 =000地时候,R 3 R 2R 1,L i L ?L 3恒为000,所以所有灯熄灭.经过分析与实际相符合,所以仿真正确.AA 3 /1o- ”L3 阳 Do- 7aL5-3ag7-SLS-3aDCL 1071BT 5t r74L504DXI-DSC74LS04.DIT4C-—",U4AQ1 3 fl - □Jb ■1■YYV-V* V*7EVABC-XESOLRR图4.左右转弯仿真电路⑶.汽车左右转弯和刹车地仿真图6•汽车左右和刹车仿真电路图7.汽车左右和刹车仿真波形根据图7有当S 2 S i S 0 =001时候,匕L 2L i 变化顺序为:11LO00RR2R i =OOO 由于输出为高电平时灯亮 所以尾灯地点亮R 3 R?R i 恒为熄灭;0YujCfmUCw000-^001 -011 11「000匕匕=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:Rjf R] R i R 2 R^f 全火f R i ..... L i L 2L 3 恒为熄火.eUts8ZQVRd S2 S i S 0 =011地时候,R 3 F 2R,L I L 2L 3恒为111,所以所有灯全亮.S2 S i S 0 =000地时候,R 3 F 2R I ,L I L 2L 3恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.Mmmmwnwwwjwmnmmnnjw11*! I ■ ■ ! I I I ■ ! I I I B ! || < ! I ■ i ■ I I I ■ I I I ■! I I ■ ■I II■1 ............... ■ ■ ■ ■ ; ; i]1 1 I | ■ ■ |I I | | || || I | | | IIII II IIIIIi IIIi I I i ' I I I I IIII I i ■ I I Ii I I |l________ I I ________ I L__ n n-丄 | I11Ii I ■> I I I I I > I I—J U ---------r -1 H"I I I I > i I I--------- -------------- 1---------------- M ..... 1 i I LI : LJ : I ___n n n________________1 丨:1 ; ;i :i : ;i :i ;_:i 戸 I i I I i I I I ILi■Il 1 11 I 11j______ 1 I Il^—IJ L-J I-L ! ! ■! . L1> I I I I I I I I I I I I I I I I I I i II _______ I — — 一 — ____________________ _____ _____ _______波形分析:000—901 -011方式为:L i L 2f L I L 2L 3^全火f L iS S i S 0 =010地时候,R 3 R?R i 变化顺序⑷.左右转弯刹车和倒车仿真图8.汽车左右转弯刹车和倒车仿真电路图9.汽车左右转弯刹车和倒车仿真波形波形分析:根据图9有当S 2 S i S o =001时候,aa L i 变化顺序为:000-^001 -011 11LOO0RQR i =OOO 由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L 1 — L 1L 2 — L 1L 2L 3T 全灭—L 1……R 3 R?R 1 恒为熄灭;sQsAEJkW5TS 2 S 1 S 0 =010地时候,R 3 R2R 1变化顺序为:000-001 -011 11L000T 1t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R 1— R 1 R ?— R 1 R ? R 3—全火—R 1 ...... L i L 2L 3 恒为熄火.GMslasNXkA S2 S 1 S 0 =011地时候,R 3 F 2R I ,L 1L 2L 3恒为111,所以所有灯全亮• S2 S 1 S 0 =100地时候,R 3 F 2R I ,L 3 L 2L 1变化顺序都为: 000- 001- 011- 111- 000所以尾灯地点亮方式为:全灭 只1,穴12丄1厂r>*4071ET_5V*XI740^UMo-J暑」Eh"U 弭ir?Bu>-r>K4 mnjwinmwm wmjwinmwmn™• • • .........................................__________---------------------------- L-J__ I I I ii I I I— 、、・ r onli— ----- ——— -------- (I)i i I i I ..........................I 1 ■ —I..... ■ismaapBBm宀i is ■ i i i ii i i i bi r > I > > I > i - « i i i_ _-_,——I-,_=—»―-—■-—>—Ias—1I ...........................I I I I I IIl_l.IL_____I I I I I I —U ~liJtTIlJ -TL^T | 血础 HJ~LU~LJ ~LTIII _______VCCX3□4A—-74LSfl4D*― - 7iLSfl4B*ABC74L5D4口*□5DIT 61D74qSK*^4-38K* ITEiBD-丁帕时uesD4Q71.&D tV*OlHS4a75BD_5V*□SAMT 右 BD.W D9CX-B -0U1仲逊网*-o S VR 1R 2R 3.L 1L 2L 3全灭 TlrRGchYzg9 S i S o =000地时候,R 3 F 2R,L I R L 3恒为000,所以所有灯熄灭• 经过分析与实际相符合,所以仿真正确.⑸.左右转弯刹车倒车和晚上行车仿真....¥D二YL C Y2GLY5Y7pz>74 DSN阳'■TXH>J-Z- LU L“询ISKFG1 VX3I071BT S7□EB4&7£BlD i&VULDf3,5 VXi -o £ VD74QaN DffidD7HPI3*L&7 GV图10.左右转弯刹车倒车和晚上行车仿真电路图J £V0103■ 4 JJdkU7B05^DD74434N=rinnn ! i !j limn nUXfLLlhMLn^图11.左右转弯刹车倒车和晚上行车仿真波形波形分析:根据图9有当S2 S i S0 =001时候,L S L2 L in L变化顺序为:000-^001 -011 111^000T RR2R1=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:L l —L1L2TL1L2L3—全灭T L1……R3R?R1 恒为熄灭;7EqZcWLZNXS2 S1 S0 =010地时候,R3 R?R1变化顺序为:000-001 -011 11L000T1L2L3=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:R〔T R[ R p T R1 R2 R3T全火T R[ ............. L1 L2L3 恒为熄火.lz q7IGf02ES2 S1 S0 =011地时候,R B F2R I,L1L2L3恒为111,所以所有灯全亮.S2 S1 S0 =100地时候,R3 F2R I,L3 L2L1变化顺序都为:000- 001 011- 111- 000所以尾灯地点亮方式为:全灭2,穴1甩丄1厂R 1R 2R3.L 1L 2L 3全灭 zvpgeqJIhk9 S i S o =101 地时候,R 3 R2R 1Z L 2L 1 为 100 所以 R s, L 3 亮• S S 1 S o =000地时候,R s F 2R,L 1L 2L B 恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.综合以上⑴⑵⑶⑷⑸得知此电路地设计是正确地 . (二>■总体设计: 1.设计地最后原理图:五■设计总结本次设计是通过查阅各种资料和我们地讨论地思考做出来地 ,由于学过 multisim7.0,经过思考后觉得由于我们地主电路都是数字电路构成地 ,所以完全可 以由multisim7.0仿真.但是对于输入脉冲 CLK 地频率较小,我们又必须设计一个 频率较小地方波源,通过网上查阅资料可以利用555定时器构成,于是我们又自己 设计一个方波源.但是设计好方波源后我们必须仿真看是否正确 ,于是就用到了 Multisim7.0进行仿真.这次我们设计地功能都是逐一增加地.NrpoJac3v1设计中地优点:基本实现了汽车在运行时候尾灯点亮方式地各种情况 . 设计中地不足:由于在行车地时候都是用开关控制地 ,所以每一个开关应该有一个消除机 械振动地装置,可以利用基本 RS 触发器来实现.在设计中可以再多用一个 74160 来做,从而简化电路图.所以如果在时间允许地条件下可以对这一系列地不足进行 解决,从而是整个系统更加可靠.1nowfTG4KI 六•设计参考资料 《数字电子技术基础》 西安电子科技大学出版社ST03T J 2TT7C!*D71BT_&V*3>-BR谿 ABCD £]匱•- C1 qJL&VIS o7«D3H -*rrPKS -o 2.B VYZ_B V34AUCA o工A丄Dt-Z3d-Ss7 ?¥¥?¥¥?BLffDiD*XFG1•MLS,741f£ltf -71D SET* D5C^zD-71D9F* USBICJ75B 口 &V*□torU 餡H 》7J03M*:H>-ia?6B0_&V*□M::5>—HQ7EED 57*X4Y3_E V图12.总体设计地最后原理图。
数字电路课程设计汽车尾灯控制电路报告.
沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
数电课程设计-汽车尾灯的控制
课程设计任务书学生姓名: *** 专业班级: 电信1405班指导教师: *** 工作单位: 信息工程学院题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1 所示。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5 篇近5 年参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4 纸打印,图纸应符合绘图规范。
时间安排:1)第1-2 天,查阅相关资料,学习设计原理。
2)第3-4 天,方案选择和电路设计仿真。
3)第4-5 天,电路调试和设计说明书撰写。
4)第6 天,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 ............................. 错误!未指定书签。
1.总体设计.......................... 错误!未指定书签。
1.1主要工作安排................ 错误!未指定书签。
1.2设计任务与设计要求.......... 错误!未指定书签。
1.2.1设计任务.............. 错误!未指定书签。
1.2.2设计要求.............. 错误!未指定书签。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。
二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。
三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。
汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。
四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。
五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。
本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。
数字电子课程设计报告--汽车尾灯控制电路设计
汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。
2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。
二、系统设计方案论述1)downto 0)y_out STD_LOGIC_VECTOR(2downto 0)右灯输出输出2) 顶层电路图3)设计源程序说明:library ieee;use ieee.std_logic_1164.all;entity weideng isport(zuo,you,clk,en:in std_logic;z_out:out std_logic_vector(2 downto 0);y_out:out std_logic_vector(2 downto 0));end weideng;architecture qiche of weideng issignal zo,yu,sha:std_logic;beginprocess(zuo,you)variable a:std_logic_vector(1 downto 0);------------定义刹车(sha)信号--------------begina:=zuo&you;case a iswhen "00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when "10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号when "01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号when others=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号end case;end process;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";--------若输入刹车信号,三个灯全亮elsif clk'event and clk='1' thenif en='1' thenif tmp ="000" thentmp:="111";else tmp:="000";----------若输入紧急(en)信号,灯双闪end if;elsif zuo='0'and you ='0' thentmp:="000";------------若左右输入信号为0时,灯全不亮elsif zuo='1' thenif tmp="000" thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1 downto 0)&'0';end if;end if;end if;z_out<=tmp;end process;-------------------------右边三个灯-------------------------- process(clk,en,sha,you)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";elsif clk'event and clk='1' thenif en='1' thenif tmp="000"thentmp:="111";else tmp:="000";end if;elsif zuo='0' and you='0' thentmp:="000";elsif you='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;end if;y_out<=tmp;end process;end qiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号为1时,右灯顺序点亮;在en为0的前提下,右灯输入信号为0,左灯输入信号为1时,左灯顺序点亮;当输入信号en为1时,输出全为双闪。
《数字电子技术课程设计》——汽车尾灯控制电路
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
数电汽车尾灯控制电路课程设计精选全文
可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。
(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。
三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。
可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。
数电课程设计_汽车尾灯的控制
课程设计任务书学生姓名: *** 专业班级: 电信1405班指导教师: *** 工作单位: 信息工程学院题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1 所示。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5 篇近5 年参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4 纸打印,图纸应符合绘图规范。
时间安排:1)第1-2 天,查阅相关资料,学习设计原理。
2)第3-4 天,方案选择和电路设计仿真。
3)第4-5 天,电路调试和设计说明书撰写。
4)第6 天,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (4)1.总体设计 (5)1.1主要工作安排 (5)1.2设计任务与设计要求 (5)1.2.1设计任务 (5)1.2.2设计要求 (5)1.3方案论述与确定 (5)2.主要芯片介绍 (6)2.1 555定时器 (6)2.2 与门74LS08 (8)2.3 异或门74LS86 (9)2.4 二—五进制计数器 (12)2.5 3线-8线译码器74LS138 (12)2.6 四位二进制可预置的同步加法计数器74LS163 (14)3 电路设计 (15)3.1 555时钟脉冲电路模块 (15)3.2 三进制循环控制电路模块 (17)3.3 译码显示电路模块 (18)3.4 总体电路 (18)4.仿真 (19)4.1 仿真软件multisim介绍 (19)4.2 电路仿真 (20)5. 装配与调试 (25)5.1 装配与调试 (25)5.2 实物功能测试 (25)6.总结 (26)摘要本次设计的汽车尾灯控制电路是用数字电路实现的。
汽车尾灯控制电路设计数字电路课程设计
汽车尾灯控制电路设计方案《数字电路课程设计》报告目录第一部分系统设计 (2)1.1 设计题目及要求 (2)1.2 总体设计方案 (2)第二部分单元电路设计 (2)2.1 时钟脉冲电路 (2)2.2 开关控制电路 (4)2.3 三进制计数器 (4)2.4 译码、显示驱动电路 (6)第三部分整机电路 (7)3.1 整机电路图 (7)3.2 元件清单 (7)第四部分性能测量 (8)4.1实验条件(仿真调试和试验箱) (8)4.2 电路调试 (8)第五部分课程设计总结 (10)第一部分系统设计1.1 设计题目及要求设计一个汽车尾灯控制电路,尾灯共有六个,左右各三个。
基本要求为:1、正常行驶和停车时指示灯全灭。
2、汽车左转弯和右转弯时以动态流水灯形式指示转弯和转弯方向。
3、汽车刹车时使所有尾灯闪烁,提醒后边的车辆防止追尾。
1.2 总体设计方案1.2.1 设计思路在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
1.2.2 设计方案方案原理框图如图2.1所示:开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1.2.2 汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求发光。
第二部分单元电路设计2.1 时钟脉冲电路2.1.1 时钟脉冲电路图时钟脉冲原理图如图2.1.1所示:图2.1.1 脉冲电路2.1.2 时钟脉冲电路工作原理由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
数字电子技术-汽车尾灯控制电路
汽车尾灯控制电路设计者: XXX汽车尾灯控制电路内容摘要本课题设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。
一、设计内容及要求本课题设计一个汽车尾灯的控制电路。
该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。
当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
当接通刹车电键时,汽车所有的尾灯同时闪烁。
当接通检查电键时,汽车所有的尾灯点亮。
二、电路的工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。
3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
三、系统方案的选择在设计本电路时,一共考虑过三种方案。
这三种方案的不同点在于产生001、010、100三种信号的方法不同。
下面简单的介绍一下这三种方案:第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。
0设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。
则 经过)()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。
电路图如下:但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。
第二种方案:通过74LS194移位寄存器来产生001、010、100的三种状态信号。
数电课程设计报告---汽车尾灯控制电路
扬州大学能源与动力工程学院本科生课程设计题目:汽车尾灯控制电路课程:数字电子技术基础专业:班级:学号:姓名:指导教师:完成日期:总目录第一部分:任务书第二部分:课程设计报告第一部分任务书《数字电子技术基础》课程设计任务书一、课程设计的目的本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实做训练。
主要包括:方案论证、系统电路分析、单元功能电路设计、元器件选择、安装调试、计算机辅助设计、系统综合调试与总结等。
使学生在《数字电子技术》基本知识、实践能力和综合素质、创新意识、水平诸方面得到全面提高,为后续课程的学习,为培养应用型工程技术人才打下重要基础。
通过本课程设计可培养和提高学生的科研素质、工程意识和创新精神。
真正实现了理论和实际动手能力相结合的教学改革要求。
二、课程设计的要求1、加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。
2、独立开展电路实验,锻炼分析、解决电子电路问题的实际本领,真正实现由知识向技能的转化。
3、独立书写课程设计报告,报告应能正确反映设计思路和原理,反映安装、调试中解决各种问题。
三、课程设计进度安排1、方案设计;(一天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。
2、电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。
同时配以必要的文字说明。
4、电路制作:(一天半)对选定的设计,按装配图进行装配,调试实验。
5、总结鉴定:(半天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电路课程设计汽车尾灯控制
西安电子科技大学
数字电路课程设计
汽车灯控制电路
一.设计任务
设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R1R2→R1R2R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L1L2→L1L2L3→全灭→L1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R1R2R3L1L2L3点亮);当汽车后退的时候所有灯循环点亮;当晚上行车的时候汽车灯的最下一个灯一直点亮。
二、设计条件
利用multisim 7.0软件进行仿真
三、设计要求
分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,因此用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74160,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。
汽车尾灯控制电路设计总体框图如图1所示。
汽车尾灯和汽车运行状态表1-1
S 0 S 1 S 2 R 1R 2R 3 L 1L 2L 3 0 0 0 正常运行 灯灭 灯灭 0 0 1 左转弯 灯灭 按L 1L 2L 3顺序循环点亮
0 1 0 右转弯 按R 1R 2R 3顺序循环点亮 灯灭
0 1 1 临时刹车/检测
所有尾灯同时点亮 1 0 0 倒车 所有尾灯按照转弯次序点亮
1 0 1
晚上行车时
R 3 ,L 3一直点亮
图1 汽车尾灯控制电路设计总体框图
四、设计内容⑤⑥ (一).分步设计:
1.时钟信号源(CLK )设计:
①.设计说明:
由于汽车灯是的点亮是给人的不同的信息及该车将要发生的动作,因此汽车的灯在闪烁的时候不能超过一定的频率,可是频率也不能太小,因此我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率f 约为2HZ 。
然后经过计数器就能控制汽车灯在循环点亮的时候时间间隔约为0.5S ,这样就能让人很清楚的明白该汽车的动作以采取相应的动作从而避免交
开关控制
译码电路
显示驱动电
记数电路R 1R 2R 3
脉冲产生电
通事故的发生。
②.设计计算公式(对应右图): 高电平时间: C R R t ph )(7.021+= 低电平时间: C R t pl 27.0= 占 空 比: R
R R t t
t pl
ph
ph
D 22
1
2+=
+=
③.设计最后图形如右图所示: 高电平时间 t ph =250.0ms 低电平时间 t pl =213.9ms 占 空 比 D=53.8% 频 率 f=2.158
④.仿真波形以及连接图形:
如右图所示为在multisim 7.0里仿真时的实际连接电路。
其中端口3为输出端,使用的时候只要将端口3接到CLK 端即可。