EDA课程设计键盘扫描电路设计

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子课程设计

—键盘扫描电路设计

学院:

班级:

姓名:

学号:

指导老师:

2009年12月

目录

1.设计任务与要求 (1)

2.功能模块 (2)

3.选择器件 (3)

4.功能模块 (5)

5.设计总体电路图 (8)

6.心得体会 (10)

一、设计任务与要求

1、键盘按钮数为4,系统时钟10MHz;

2、能识别出所按按钮;

3、按钮被按下后,视为此按钮输入一次,若按钮长时间不松,(时限1S)

后每隔0.5S视为再次输入,直至按钮松开;

4、要求能对按钮按下时指令的抖动能正确处理。对持续时间小于50ms

的输入不作响应;

5、各键设置不同优先级,多键同时按下时,视为优先级较高的按键被按

下;

二、功能模块

图3 模块delta

其VHDL语言如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_unsigned.all;

entity keyboard4_4 is

port(

rst : in std_logic;

clk_in : in std_logic;

keyin : in std_logic_vector(3 downto 0); scan : out std_logic_vector(3 downto 0); leds : out std_logic_vector(3 downto 0);

state : out std_logic;

VGA : out std_logic_vector(3 downto 0) );

end keyboard4_4;

architecture keyboard4_4_arch of keyboard4_4 is

--

--********************************************* component debouncing

port( key : IN STD_LOGIC ;

clk,clr : IN STD_LOGIC ;

dly_out : OUT STD_LOGIC ) ;

end component ;

--*********************************************

--

signal clkfrq : std_logic;

signal cntscn : std_logic_vector(1 downto 0); signal scnlin : std_logic_vector(3 downto 0); signal cntfrq : std_logic_vector(14 downto 0);

--signal cntfrq : std_logic_vector(3 downto 0); signal lednum : std_logic_vector(7 downto 0);

signal key_tmp : std_logic_vector(3 downto 0);

signal clk : std_logic;

signal cntfrq1 : std_logic_vector(5 downto 0); begin

VGA <= "0101"; --键盘功能选择

scan <= not scnlin;

lednum <= scnlin & (not key_tmp);

-- key_tmp <= keyin;

--debounuing ckt

debounuing : block

begin

U1: debouncing PORT MAP (

KEY => keyin(0) ,

DLY_OUT => key_tmp(0) ,

clr=>rst,

clk => CLK

);

U2: debouncing PORT MAP (

KEY => keyin(1) ,

dly_out => key_tmp(1) ,

clr=>rst,

clk => CLK

);

U3: debouncing PORT MAP (

key => keyin(2) ,

dly_out => key_tmp(2) ,

clr=>rst,

clk => CLK

);

U4: debouncing PORT MAP (

key => keyin(3) ,

dly_out => key_tmp(3) ,

clr=>rst,

clk => CLK

);

END block debounuing ;

--

--******************************************************

--

process(rst,clk_in) -- 晶振为40MHz,进行40000分频产生去抖时钟(1000Hz)

begin

if rst = '0' then

cntfrq <= (others => '0');

elsif rising_edge(clk_in) then

if (cntfrq = "100111000011111" or not (key_tmp="1110" or key_tmp="1101" or key_tmp="1011" or key_tmp="0111") ) then

--if (cntfrq = "100111000011111" or key_tmp="1111" ) then --if cntfrq = "1111" then

cntfrq <= (others => '0');

clk <= not clk;--去抖时钟

else

cntfrq <= cntfrq + 1;

end if;

end if;

end process;

process(rst,clk) --去抖时钟,50分频,形成扫描时钟

begin

if rst = '0' then

clkfrq <= '0';

cntfrq1 <= (others => '0');

elsif rising_edge(clk) then

相关文档
最新文档