EDA期末大作业设计

合集下载
相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA 课程设计报告

题 目 简易电子琴 组 员 王维婷(3110403128) 郑雅亿(3110403131) 专业班级 电子信息工程112班

宁波理工学院

一、实验目的

1.学习利用数控分频器、键盘实验。

2.理解PS/2键盘的基本原理。

二、实验原理

本实验利用键盘的数字按键部分来控制电子琴的C调,DO RA,MI,FA,SO,LA,SI,分别用0,1,2,3,4,5,6,7来进行控制。们使用了键盘的介入来进行控制。

组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需的2个基本要素,首先让我们来了解音符与频率的关系。乐曲的12平均率规定:每2个八度音(如简谱中的中音1与高音1)之间的频率相差1倍。在2个八度音之间,又可分为12个半音,每2个半音的频率比为。另外,音符A(简谱中的低音6)的频率为440Hz,音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音1至高音1之间每个音符的频率,如图所示。

简谱中音符与频率的关系

如图所示,为PS/2键盘的接口引脚图。

下图为PS/2键盘的通信时序图。

主系统由4个模块组成:TOP是顶层设计文件,其内部有三个功能模块:Tone.VHD、Speaker.VHD、enc16_4.VHD.、PS2VHDL.VHD、clkdiv10.VHD、clkdiv50.VHD以及PULSE12.VHD

模块TONE是音阶发生器,当4位发声控制输入INDEX中某一位为高电平时,则对应某一音阶的数值将从端口TONE输出,作为获得该音阶的分频预置值;同时由CODE输出对应该音阶简谱的显示数码,如‘5’,并由HIGH输出指示音阶高8度显示。由例6-28可见,其语句结构只是类似与真值表的纯组合电路描述,其中的音阶分频预置值,如Tone <= 1290是根据产生该音阶频率所对应的分频比获得的。

简易电子琴电路结构

模块SPEAKER中的主要电路是一个数控分频器,它由一个初值可预置的加法计数器构成,当模块SPEAKER由端口TONE获得一个2进制数后,将以此值为计数器的预置数,对端口CLK12MHZ输入的频率进行分频,之后由SPKOUT向扬声器输出发声。

模块NOTETABS,用于控制音乐的暂停与播放,产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。

试完成此项设计,并在EDA实验系统上的FPGA目标器件中实现之。

5、实验内容:编译适配以上4个示例文件,给出仿真波形,最后进行下载和硬件测试实验。建议使用实验电路模式“3”,用短路帽选择“CLOCK9”的输入频率选择12MHz,此信号作为系统输入信号CLK12MHZ;CLK8HZ与clock2相接,接受4Hz 频率;键盘按键0-7作为输入信号控制各音阶。

三、实验代码

enc16_4

library ieee ;

use ieee.std_logic_1164.all ;

entity enc16_4 is

port ( I : in std_logic_vector(7 downto 0);--输入的待编码信号

Y : out std_logic_vector(3 downto 0)) ;--编码输出

end enc16_4;

architecture one of enc16_4 is

SIGNAL L: STD_LOGIC_VECTOR(7 DOWNTO 0);

begin

Search : PROCESS(I)

begin

CASE I IS

WHEN "01101100" => Y<="1000";

WHEN "01110100" => Y<="0111";

WHEN "01110011" => Y<="0110";

WHEN "01101011" => Y<="0101";

WHEN "01111010" => Y<="0100";

WHEN "01110010" => Y<="0011";

WHEN "01101001" => Y<="0010";

WHEN "01110000" => Y<="0001";

WHEN OTHERS => Y<="0000";

END CASE;

end process ;

end one ;

Tone

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY Tone IS

PORT ( Index : IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CODE : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

HIGH : OUT STD_LOGIC;

Tone : OUT STD_LOGIC_VECTOR(10 DOWNTO 0));

END;

ARCHITECTURE one OF Tone IS

BEGIN

Search : PROCESS(Index)

BEGIN

CASE Index IS -- 译码电路,查表方式,控制音调的预置数 WHEN "0000" => Tone <= CONV_STD_LOGIC_VECTOR(2047,11);

--CONV_STD_LOGIC_VECTOR(139,11);

CODE <= CONV_STD_LOGIC_VECTOR(1,4);

HIGH <= '0';

WHEN "0001" => Tone <= CONV_STD_LOGIC_VECTOR(347,11); CODE <= CONV_STD_LOGIC_VECTOR(2,4); HIGH <= '0';

WHEN "0010" => Tone <= CONV_STD_LOGIC_VECTOR(533,11); CODE <= CONV_STD_LOGIC_VECTOR(3,4);

HIGH <= '0';

相关文档
最新文档