汽车尾灯控制电路说明书
汽车尾灯控制电路
电子课程设计——汽车尾灯控制电路学院:电子信息工程学院专业、班级:姓名:学号:指导教师:闫小梅2014年12月12日目录一﹑设计任务与要求二﹑总体框图三﹑选择器件四﹑功能模块五﹑总体设计电路图六﹑实验总结与体会七﹑参考文献汽车尾灯控制电路一、设计任务与要求1、设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R1R2→R1R2R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L1L2→L1L2L3→全灭→L1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R1R2R3 L1L2L3点亮)。
2、分析以上设计任务,由于汽车左转弯、右转弯、刹车、正常行驶时所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。
二、总体框图1、设计思想电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器电路实现汽车尾灯依次并循环显示。
2、设计方案方案一本方案依靠移位寄存器74LS197实现灯的循环点亮,利用晶振分频电路实现CP。
其系统框图如下:方案二方案设计采用74ls138译码器实现对灯的循环控制,通过对输入地址码的改变使不同输出端有效实现对灯的控制,再配合六个与非门实现对刹车和正常运行时灯的闪烁情况控制,其中闪烁控制的CP脉冲由555定时器设计完成,而对于转弯时尾灯的循环亮则采用设计一个三进制计算器作为3-8译码器的地址输入端实现。
汽车尾灯控制电路说明书
目录1、课程设计目的 (2)2、课程设计内容和要求2.1、设计内容 (2)2.2、设计要求 (2)3设计方案 (2)3.1、设计思路 (2)3.2、工作原理及硬件框图 (2)3.3、硬件电路原理图 (3)(一)脉冲产生电路 (3)(二)循环移动电路 (3)(三)左转右转控制电路 (4)(四)刹车、检查电路 (4)3.4、PCB版图设计 (6)4、课程设计总结 (6)5、参考文献 (8)1、课程设计目的①掌握电子电路的一般设计方法和设计流程;②学习使用PROTEL软件绘制电路原理图及印刷板图;③掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确性。
2、课程设计内容和要求:2.1、设计内容汽车尾部左右两侧各有3个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁2.2、设计要求a.当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
b. 当接通刹车电键时,汽车所有的尾灯同时闪烁。
c.当接通检查电键时,汽车所有的尾灯点亮。
3、设计方案3.1、设计思路分四种情况,左转、右转、刹车、检查,通过电路控制每种情况的灯亮暗,利用数字电路控制每种情况的转变,从而完成汽车尾灯控制。
3.2、工作原理及硬件框图由设计的基本要求可知,整个电路包括以下几部分:时钟产生电路,左转右转控制电路,检查和刹车控制电路组成。
其中时钟产生电路有555定时器组成,用于产生频率为1赫兹的脉冲信号。
该脉冲信号作为刹车时的输入信号,控制尾灯的闪烁;左传右转控制电路控制汽车尾灯按照左循环或右循环的顺序依次点亮。
其系统的原理框图如图所示:电路原理设计示意图 3.3、硬件电路原理图 一.脉冲产生电路f=1.43/(R1+2R2)/C 取 R1=40.23K,R2=15.1K,C=10微法。
则输出信号频率为1赫兹(时钟产生电路:该电路由555定时器构成的多谐振荡器组成电路如图,它的输出信号频率为 周期为1秒)图1 脉冲产生电路二.循环移动电路汽车在左右转向时,其尾灯按照顺序循环点亮。
汽车尾灯控制电路图(一)
汽车尾灯控制电路图(一)
本电路用几个廉价的晶体管和两个继电器使公共汽车的抽动国信号和拐弯信号能综合控制尾灯;制动时两个尾灯都亮,拐弯时只有一个尾灯亮。
拐弯信号使尾灯每秒亮两次。
拐弯时C1和C2充电至拐弯信号的峰压。
电容的大小要使继电器能够在灯闪的间隙时间内吸合。
如果电容选得太大,在拐弯信号撤除之后,制动信号就无法马上使尾灯亮起来。
本电路是为新式汽车设计的,这种汽车为了保证安全起见需要将拐弯信号和制动信号分开。
汽车尾灯控制电路
汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路
实验二十七汽车尾灯控制实验精选全文
可编辑修改精选全文完整版
实验二十七汽车尾灯控制实验(研究型)
一、实验目的
1、运用数字逻辑电路的基础知识设计实用逻辑电路。
2、提高学习兴趣
二、实验设备及器件
1、万用表 1块
3、器件自选
三、实验内容及步骤
1、设汽车左右各三个尾灯,利用两个开关模拟汽车左右拐弯,当两个开关为11时,汽车后面6个尾灯全亮;当两开关为10时,汽车左拐,左边三个尾灯依次从右往左循环亮;而当两开关为01时,表示汽车右拐,则右边三个尾灯依次从左往右循环亮;开关为00汽车后面6个尾灯全暗。
原理线路如图27-1所示
图 27-1
2、选器件,画实验连线图(学生来完成)。
3、调试(学生来完成)。
四、实验要求
1、独立组装调试,通过老师当场验收。
2、交出完整的实验报告。
数字电路课程设计汽车尾灯控制电路报告.
沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
汽车尾灯课程设计说明书
目录1.绪论 (1)1.1设计目的及意义 (1)1.2 设计内容及要求 (1)1.2.1 功能要求 (1)1.2.2 方案及元件要求 (1)2整体电路构想 (2)2.1整体电路框图 (2)2.2汽车尾灯功能描述 (2)3 部分电路设计及其仿真 (3)3.1三进制计数器 (3)3.1.1 三进制电路设计 (3)3.1.2 三进制电路的仿真及波形 (4)3.2开关控制电路的设计 (5)3.2.1 74138译码器 (5)3.2.2 开关电路 (6)3.3 尾灯电路 (7)3.4 秒脉冲设计 (7)3.4.1 由555定时器构成的脉冲图 (8)3.4.2 相关参数的计算[4] (8)3.4.3 脉冲的仿真 (8)4 整体电路成形及其仿真 (10)4.1 整体电路图 (10)4.2 仿真 (10)4.2.1 汽车刹车 (10)4.2.2 汽车左转 (11)4.2.3 汽车右转 (12)5 元器件清单列表 (13)6 设计总结 (14)参考文献 (15)1.绪论1.1设计目的及意义经过一年的模数电,尤其是一学期的数电学习,我们学生已经初步具备一定的电子设计知识。
所谓打铁须趁热,在这学期的模电刚收尾之际,我们开始了我们的课程设计。
此举有助于我们加深对已学知识的理解,扩展对未学知识的接触,增强对电子科学知识的兴趣。
可收到温故知新之效。
在人类社会日新月异的今天,最大的真理就是——变!我们欲在此中生存,就要有自己的一技之长。
增强学生的动手能力,是每个国家,每个地区,每所学校的责任,也是其办学要有的理念。
课程设计,是对这理念的一个响应,可以较好地让学生将理论用于实际,增强学生的动手能力。
使学生具备今后进入企业所应具备的基本能力,初步满足社会对学生的要求。
1.2 设计内容及要求1.2.1 功能要求本设计要求生设计一个汽车尾灯控制电路。
汽车正常前行时,尾灯全部处于熄灭状态;汽车右转弯时,右侧3个指示灯按右循环顺序点亮;当汽车左转弯时,左侧3个指示灯按左循环顺序点亮;而在汽车临时刹车时,所有指示灯同时闪烁。
汽车尾灯控制电路的设计说明
目录1、mutisim简介11.1 概述11.2 具有的功能模块12、设计要求与思路52.1 设计目的与要求52.2设计思路与构想53、单元电路设计73.1秒脉冲电路的设计73.2 开关控制电路的设计83.3 三进制计数器电路的设计93.4 译码与显示驱动电路的设计113.5 尾灯状态显示电路的设计134、电路仿真与分析144.1 电路仿真总电路图144.2 汽车尾灯控制器电路的工作原理144.3 参数计算与器件选择155、电路安装与调试166、元器件清单177、设计体会18参考文献19本科生课程设计成绩评定表201、mutisim简介1.1 概述Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。
凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。
借助专业的高级SPICE 分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。
与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。
1.2 具有的功能模块:Ø 直观的图形界面整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的;Ø 丰富的元器件提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元件各种参数进行编辑修改,能利用模型生成器以与代码模式创建模型等功能,创建自己的元器件。
汽车尾灯控制电路 VHDL
可编程逻辑器件设计大作业题目汽车尾灯控制电路学院班级姓名学号2013年12月20日题目:汽车尾灯控制电路一、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1)汽车正常运行时指示灯全灭。
2)右转弯时,右侧3个指示灯按右循印顺序点亮。
3)左转弯时,左侧3个指示灯按左循别顺序点亮。
4)临时刹车时,所有指示灯随着CP时钟脉冲同步闪烁。
二、总体设计:1、总体结构图:2、顶层原理图:三、单元模块设计1、各模块功能汽车尾灯主控模块工作框图如上图左边灯控制模块的工作框图如上图右边灯控制模块的工作框图如上图2、VHDL源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.STD_LOGIC_UNSIGNED.ALL;ENTITY weideng IS --实体port(clk,tright,tleft:IN STD_LOGIC; --端口说明rightdeng:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);leftdeng:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END ENTITY weideng;ARCHITECTURE qiche OF weideng IS --结构体部分SIGNAL leftd:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL rightd:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINcontrol:PROCESS(clk,tleft,tright)BEGINIF clk'EVENT AND clk='1'THENIF rightd="01"THEN --右信号rightd<="11";ELSE rightd<=(rightd-1);END IF;IF leftd="01"THEN --左信号leftd<="11";ELSE leftd<=(leftd-1);END IF;END IF;END PROCESS control;proout:PROCESS(clk,tright,tleft,rightd,leftd)BEGINIF clk'EVENT AND clk='1'THENIF tright='1'THEN --右信号有效时leftdeng<="000";CASE rightd IS --右边灯的亮灭状态WHEN"01"=>rightdeng<="001";WHEN"10"=>rightdeng<="010";WHEN"11"=>rightdeng<="100";WHEN OTHERS=>rightdeng<="000";END CASE;ELSIF tright='0'THEN --右信号无效rightdeng<="000"; --右边3个灯全灭END IF;IF tleft='1'THEN --左信号有效rightdeng<="000";CASE leftd IS --左边灯的亮灭状态WHEN"01"=>leftdeng<="100";WHEN"10"=> leftdeng<="010";WHEN"11"=> leftdeng<="001";WHEN OTHERS=> leftdeng<="000";END CASE;ELSIF tleft='0'THEN --左信号无效leftdeng<="000"; --左边3个灯全灭END IF;END IF;IF tleft='1'AND tright='1' THEN --左右信号都有效时6个灯全亮leftdeng<="111";rightdeng<="111";ELSIF tright='0'AND tleft='0'THEN --左右信号都无效时6个灯全灭leftdeng<="000";rightdeng<="000";END IF;END PROCESS proout;END qiche;3、用Quartus Ⅱ软件进行调试:首先是在桌面打开QuartusⅡ软件后选择File>>New Project Wizard进入新建工程对话框>>单击Next进入新建工程路径、名称、顶层实体指定对话框(选择好保存路径、输入工程名为weideng),由于只是进行功能和时序仿真,所以直接单击Finish即可。
电子技术课程设计汽车尾灯控制电路
电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。
当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。
RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。
汽车尾灯控制电路
课程设计说明书课程设计名称:电子课程设计课程设计题目:汽车尾灯控制电路学院名称:信息工程学院专业:计算机科学与技术班级:学号:姓名:评分:教师:20 10 年 9 月 15 日摘要随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车已经成为现代人们主要的交通工具。
人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。
本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。
这样可以使得尾灯更清楚明显更加人性化。
本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。
整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。
分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。
本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。
通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。
经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。
关键字:汽车尾灯、循环闪烁、译码、脉冲源目录前言 (4)第一章设计内容及要求 (5)第二章系统设计方案选择2.1 方案一 (6)2.2 方案二 (7)第三章系统组成及工作原理3.1 系统组成 (8)3.2 工作原理 (9)第四章单元电路设计、参数计算、器件选择4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11)4.3 开关控制电路设计 (12)4.4译码及显示驱动电路 (13)第五章实验调试及测试结果与分析 (15)第六章实验总结及收获 (16)参考文献 (17)附录一 (18)附录二 (21)附录三 (22)前言汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车市场上,汽车电子化竞争非常激烈,电子控制系统的应用十分普遍。
汽车尾灯控制电路设计
课程设计——汽车尾灯控制电路设计一、 实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。
二、 设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:a 、 汽车正常运行时指示灯全灭;b 、 右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示灯按左循环顺序点亮。
c 、 临时刹车时所有指示灯同时闪烁。
三、 设计步骤:(1)列出尾灯与汽车运行状态表(2 由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(S 1、S 2、CP 、Q 1、Q 0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。
图1 汽车尾灯控制电路原理框图(3)设计单元电路三进制计数器电路可由双JK 触发器74LS76构成。
图2 三进制计数器电路图采用CP 下降沿触发的JK 触发器,当CP 由1跳变为0时,触发器的输出依据J 和K 的状态而定。
表3为J-K 触发器的状态表。
由双JK 汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。
74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1Q 0是三进制计数器的输出端。
当S 1=1,S 2=0时,使能信号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y 、1Y 、2Y 依次为0有效(3Y 、4Y 、5Y 信号为“1”无效),即反相器G 1~G 3的输出也依次为0,故指示灯D 3→D 2→D 1按顺序点亮示意汽车左转弯。
若上述条件不变,而S 1=0,S 2=1时,则74LS138对应的输出端4Y 、5Y 、6Y 依次为0有效,即反相器G 4~G 6的输出端依次为0,故指示灯D 4→D 5→D 6按顺序点亮示意汽车右转弯。
12.6汽车尾灯控制电路
图电路主要由3-8线译码器74LS138、BCD 同步加减计数器74LS190及四位双向移位寄存 器74LS194组成,电路如图12.6.2。 例如当左尾灯控制开关断开时74LS138芯 片的输入端ABC为100,
通过译码后将100信号加到74S194的相应的 端子从而实现左边的灯光移位闪烁,同时通过 74LS190组成3进制计数器来控制3个灯闪烁。右 尾灯控制过程与左尾灯相同。若要控制多个灯的 闪烁可将计数器电路重新设计就能实现。
12.6 汽车尾灯控制电路
用6个发光二极管模拟汽车尾灯,即左尾灯 (L1~L3)3个发光二极管,右尾灯(R1~R3) 3个发光二极管。用两个开关分别控制左尾灯 显示和右尾灯显示。当左转弯开关KL打开时, 左转弯尾灯显示的3个发光二极管按图12.6.1 所示的规律亮灭显示。
当右转弯开关KR打开时,同样,如同左转弯 尾灯显示的3个发光二级管也按图12.6.1所 示的规律亮灭显示。
数字电路课程设计(汽车尾灯控制)
西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R1R2→R1R2R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L1L2→L1L2L3→全灭→L1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R1R2R3 L1L2L3点亮);当汽车后退的时候所有灯循环点亮;当晚上行车的时候汽车灯的最下一个灯一直点亮。
二、设计条件利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74160,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。
汽车尾灯控制电路设计总体框图如图1所示。
汽车尾灯和汽车运行状态表1-1图1 汽车尾灯控制电路设计总体框图四、设计内容⑤⑥(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明:由于汽车灯是的点亮是给人的不同的信息及该车将要发生的动作,所以汽车的灯在闪烁的时候不能超过一定的频率,但是频率也不能太小,所以我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率f 约为2HZ 。
然后通过计数器就能控制汽车灯在循环点亮的时候时间间隔约为0.5S ,这样就能让人很清楚的明白该汽车的动作以采取相应的动作从而避免交通事故的发生。
②.设计计算公式(对应右图):高电平时间: C R R tph)(7.021+=低电平时间:C R tpl27.0=占 空 比: RR Rt tt plphphD 2212+=+=③.设计最后图形如右图所示: 高电平时间 tph=250.0ms低电平时间tpl=213.9ms占 空 比 D=53.8% 频 率 f=2.158 ④.仿真波形以及连接图形:如右图所示为在multisim 7.0里仿真时的实际连接电路。
汽车尾灯控制电路说明书
中北大学课程设计说明书学生姓名:学号:学院:信息与通信工程学院专业:光电信息科学与工程题目:汽车尾灯控制电路设计指导教师:职称:指导教师:职称:201X年 X月XX日中北大学课程设计任务书201X/201X 学年第一学期学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号:课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学指导教师:学科管理部主任:下达任务书日期: 201X年X月X日目录摘要 (1)1 引言 (2)2 设计内容分析及要求 (3)2.1设计目的 (3)2.2 设计任务及主要技术指标 (3)3 方案论证及设计原理 (4)3.1 方案确定与论证 (4)3.2 设计原理 (4)3.2.1 汽车尾灯显示状态与汽车运行状态的关系 (4)3.2.2 汽车尾灯控制器功能描述 (4)3.2.3 设计方案及框图 (5)4 电路模块设计 (6)4.1 555多谐振荡电路 (6)4.2 三进制循环控制电路 (7)4.3 译码输出电路 (9)4.4 开关控制电路 (10)4.5 译码及显示驱动电路 (12)5 电路的仿真与分析 (13)5.1 电路的仿真 (13)5.2 电路的分析 (17)6 元件列表 (18)7 心得与体会 (19)参考文献 (20)附录一原理图 (21)附录二 PCB图 (22)汽车尾灯控制电路设计摘要本文介绍了一种设计模拟汽车尾灯工作情况电路的方法,实现由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
主要阐述了通过 555 系列来制作脉冲产生器,任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计。
设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
通过计数器实现左转弯和右转弯时的尾灯定时循环闪烁,以及刹车时尾灯的定时闪烁。
关键词:模式控制电路译码显示驱动电路脉冲发生电路1 引言汽车尾灯控制电路是很常用的工作电路,在日常的生活中都有很广泛的应用。
汽车尾灯说明书范本(1)
课程设计成绩评定表
出勤
情况
出勤天数
缺勤天数
成
绩
评
定
出勤及设计过程表现(20分)
课设答辩(20分)
电路仿真(30分)
说明书(30分)
总成绩(100分)
提问
(答辩)
问题
情况
综
合
评
定
指导教师签名:
年月日
目录
1引言1
1.1设计目的1
1.2设计背景1
2设计原理2
3总体设计3
3.1设计方案3
⑶撰写设计说明书。
二、设计原始资料
Multisim仿真软件、课件、芯片资料。
三、要求的设计成果(课程设计说明书、设计实物、图纸等)
课程设计说明书、仿真电路图。
四、进程安排
周1讲解整个设计要实现的功能,查阅相关资料,画出整体电路;
周2、3进行仿真并调试;
周4撰写课程设计任务书;
周5课程设计答辩并提交设计说明书。
三要求的设计成果课程设计说明书设计实物图纸等课程设计说明书仿真电路图
唐山学院
《数字电子技术》课程设计
题目
系(部)信息工程系
班级
姓名
学号
指导教师成凤敏马军爽樊艳
年月日至月日共1周
年月日
《数字电子技术》课程设计任务书
一、设计题目、内容及要求
设计题目:汽车尾灯控制电路有3个指示灯,汽车运行时具有如下模式:
⑴汽车正向行使时,左右两侧的指示灯全部处于熄灭状态。
⑵汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮。
⑶汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮。
⑷汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
中北大学课程设计说明书学生姓名:学号:学院:信息与通信工程学院专业:光电信息科学与工程题目:汽车尾灯控制电路设计指导教师:职称:指导教师:职称:201X年 X月XX日中北大学课程设计任务书201X/201X 学年第一学期学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号:课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学指导教师:学科管理部主任:下达任务书日期: 201X年X月X日目录摘要 (1)1 引言 (2)2 设计内容分析及要求 (3)2.1设计目的 (3)2.2 设计任务及主要技术指标 (3)3 方案论证及设计原理 (4)3.1 方案确定与论证 (4)3.2 设计原理 (4)3.2.1 汽车尾灯显示状态与汽车运行状态的关系 (4)3.2.2 汽车尾灯控制器功能描述 (4)3.2.3 设计方案及框图 (5)4 电路模块设计 (6)4.1 555多谐振荡电路 (6)4.2 三进制循环控制电路 (7)4.3 译码输出电路 (9)4.4 开关控制电路 (10)4.5 译码及显示驱动电路 (12)5 电路的仿真与分析 (13)5.1 电路的仿真 (13)5.2 电路的分析 (17)6 元件列表 (18)7 心得与体会 (19)参考文献 (20)附录一原理图 (21)附录二 PCB图 (22)汽车尾灯控制电路设计摘要本文介绍了一种设计模拟汽车尾灯工作情况电路的方法,实现由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
主要阐述了通过 555 系列来制作脉冲产生器,任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计。
设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
通过计数器实现左转弯和右转弯时的尾灯定时循环闪烁,以及刹车时尾灯的定时闪烁。
关键词:模式控制电路译码显示驱动电路脉冲发生电路1 引言汽车尾灯控制电路是很常用的工作电路,在日常的生活中都有很广泛的应用。
汽车行驶时会出现正常行驶,左转弯,右转弯,紧急刹车四种情况。
针对这四种情况可以设计出汽车尾灯的控制电路来表示着四种状态。
随着汽车工业的发展,汽车灯具也正发生着日新月异的变化,越来越先进的灯光照明技术在汽车灯具上得到了更多的运用。
LED被称为是第四代的汽车光源,是一种固态的半导体器件,它可以直接把电转化为光。
如今,大功率LED已被大量用于汽车的主刹车灯、尾灯、方向灯、指示灯,也可用于仪表和车内照明。
在汽车照明中,预计到2010年,LED将占据绝大部分汽车尾灯照明(包括倒车灯和牌照灯)。
此外,由于发光速度比灯泡快,所以能够迅速向后面的车辆传达刹车信息,有助于提高行车安全。
因此,LED给汽车照明系统带来了革命性的发展契机。
这次课程设计利用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,555计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。
2 设计内容分析及要求2.1设计目的(1)掌握车灯右循环电路的设计、仿真与调试;(2)掌握车灯左循环电路的设计、仿真与调试;(3)掌握延时电路的设计、仿真与调试;(4)掌握状态切换电路的设计、仿真与调试;(5)掌握方案设计与论证;2.2 设计任务及主要技术指标(1)假设汽车尾部左右各有3只指示灯(发光二极管模拟)①汽车正常运行时全部熄灭;②右转时右侧3只灯依次按右循环点亮;③左转时左侧3只灯依次按左循环点亮;④刹车时所有灯同时闪烁。
⑤车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%;(2)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。
(3)用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。
3 方案论证及设计原理3.1 方案确定与论证汽车尾灯控制器的常见电路形式有基于集成门电路构成的电路系统和基于单片机系统构建的控制电路。
单片机成本较低,其外围电路的元器件价格也不高,但系统软硬件设计相对比较复杂,运用单片机控制方案,该系统硬件设计包含扩展电路部分和系统配置电路部分,软件设计又要注意算法的合理选择和程序的优化设计,所以该系统电路软硬件设计工作量都相对较大。
集成门电路系统稳定性高,结果再现性好,系统分析与设计相对较为容易。
虽然由于其电路实现过程较为简单,必须根据逻辑代数规则对系统进行设计,但是次汽车尾灯控制电路逻辑变量简单,状态少,因此电路结构简单,所用芯片少,成本也不高。
综合以上考虑及现有知识,选用逻辑电路搭建汽车尾灯控制电路。
3.2 设计原理3.2.1 汽车尾灯显示状态与汽车运行状态的关系由于汽车尾灯有四种不同的状态,可用2个开关变量进行控制,假定用开关K1和K2进行控制,可以列出尾灯显示状态与汽车运行状态的关系表。
表3-1 汽车尾灯显示状态与汽车运行状态的关系3.2.2 汽车尾灯控制器功能描述在汽车左、右转弯行驶时,可用一个三进制计数的输出去控制译码电路顺序输出低电平,按照要求顺序循环点亮三个指示灯。
假定三进制计数器的状态用Q1、Q0表示,可得出在每种运行状态下,各指示灯与各给定条件的关系,即汽车尾灯控制逻辑功能表如表所示。
(1表示点亮\开关闭合,0表示熄灭\开关断开)表 3-2 汽车尾灯控制器功能描述3.2.3 设计方案及框图方案设计采用74LS138译码器通过对输入地址码的改变来产生不同输出从而实现对灯的有效控制,再配合六个与门实现对左、右转弯和正常运行时灯的闪烁情况控制,而对于尾灯的循环点亮则采用设计一个三进制计数器作为3-8译码器的地址输入端实现,其时钟脉冲由555定时器产生。
刹车时灯的闪烁则由开关控制电路中的的三输入与非门分别接到两个开关K0、K1以及555定时器输出端来实现,当两个开关闭合时完全由555定时器输出脉冲控制灯的闪烁。
由于计数器74LS161为上升沿有效触发,只在每个脉冲的上升沿时有效计数,而LED灯的亮灭时间取决于定时器的周期和占空比,同步脉冲输入产生的效果不同步,因此计数器的脉冲输入和刹车时的脉冲输入分别采用两个555定时器输入,且定时器设定参数不同。
其总体框图如下:图 3-1 系统框图4 电路模块设计4.1 555多谐振荡电路电路选择用555构成的多谐振荡器作为脉冲电路,其引脚图如图4-1,功能表如表4-1。
表 4-1 555功能表图 4-1 555引脚图电路中两次用到时钟脉冲分别是74LS161计数器的输入端和刹车情况下灯的闪烁控制,两处都要求点亮和熄灭的时间均为2s 。
由于计数器只在上升沿时触发,若要满足2s 改变一次状态则接入计数器的脉冲周期为2s ,而接入刹车灯控制端的定时器则需要周期为4s ,占空比为50%。
经计算,设置接入计数器时钟输入端的定时器R7=100Ω,R8=13K Ω,C1=0.01uF ,C2=100uF ,其原理图如图4-2。
输入输出 THTRRdD OUT X X0 0 导通 <CC V 32 <CC V 311 1 截止 >CC V 32 >CC V 311 0 导通 <CC V 32 >CC V 311不变不变图 4-2 555多谐振荡电路一设置接入刹车尾灯控制端的定时器R9=100Ω,R10=26KΩ,C3=0.01uF,C4=100uF,其原理图如图4-3。
图 4-3 555多谐振荡电路二4.2 三进制循环控制电路三进制计数器可用触发器级联构成也可由集成计数器改造,考虑到用触发器构成计数器的电路结构复杂且结果没有预期效果好,因此设计中选用十六进制计数器74LS161来改成三进制计数器。
图 4-4 74LS161引脚图管脚图介绍:时钟CP 四个数据输入端P0~P3零/MR 使能CEP,CET置数PE 数据输出端Q0~Q3进位输出TC表 4-2 74LS161功能表输入输出CP C R L D EP ET D3D2D1D0Q3Q2Q1Q0╳0 ╳╳╳╳╳╳╳0 0 0 0↑ 1 0 ╳╳ d c b a d c b a↑ 1 1 0 1 ╳╳╳╳保持↑ 1 1 ╳0 ╳╳╳╳保持(CO=0)↑ 1 1 1 1 ╳╳╳╳计数由以上 74LS161 的功能表可知,当把 QA 与 QB 输入与非门,输出端接在CLEAR 端,即可以通过反馈清零的方法做出三进制计数器,即 QA 与 QB 实现00 — 01 — 10 —00 的循环,其电路结构如图4-5所示。
图 4-5 74LS161三进制计数器电路图4.3 译码输出电路通过控制3-8译码器的使能端和地址端实现LED灯的亮灭,由计数器的两个低位输出端和开关K1控制地址输入端,开关K1和K2共同控制使能端E1(STA)。
管脚图介绍:选通输入端STA ,⎺STB,⎺STC地址输入端A2,A1,A译码输出端⎺Y0~⎺Y7图 4-6 74LS138引脚图表 4-3 74LS138功能表图 4-7 74LS138译码电路图4.4 开关控制电路通过开关控制实现一下功能:①K1断开K2断开时,D1—D6所有LED 灯熄灭表示正常运行; ②K1闭合K2断开时,D1—D3依次循环点亮,D4—D6熄灭; ③K1断开K2闭合时,D4—D6依次循环点亮,D1—D3熄灭; ④K1闭合K2闭合时,D1—D6所有LED 灯同时点亮、熄灭;依据上述情况可求出使能控制信号E 和F 的逻辑表达式为(1表示闭合,0表示断开):输入输出ST A ST BST C A 2A 1A 07Y6Y5Y4Y3Y 2Y 1Y0Y╳ 1 ╳ ╳ ╳ ╳ 1 1 1 1 1 1 1 1 ╳ ╳ 1 ╳ ╳ ╳ 1 1 1 1 1 1 1 1 0 ╳ ╳ ╳ ╳ ╳ 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1 0 0 0 0 1 1 1 1 1 1 1 0 1 1 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 0 0 1 1 1 1 1 1 0 1 1 1 1 0 0 1 0 0 1 1 1 0 1 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 0 1 0 1 1 1 1 1 1 11111111111E= K12K +1K K2=K1⊕K2 F=1K 2K +1K K2+ K12K + K1 K2CP =1K +2K + K1 K2CP =1K +2K +CP =CP K K 21根据E 和F 的逻辑表达式画出开关控制电路如图 4-4。
开关K1和K2一端接VCC ,另一端通过限流电阻接地,当开关闭合时产生高电平,断开时为低电平。