fpga8选1数据选择器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

FPGA实验报告

姓名朱聪聪学号 1432110139 姓名武帅学号 1432110141

8选1数据选择器设计

实验目的1、理解数据选择器功能。

2、掌握VHDL并行语句中条件信号赋值的格式和用法。

设计要求

设计一个8选1数据选择器使其满足如下真值表:

8选1数据选择器真值表

地址码(选择信号)输出Q A0 A1 A2

0 0 0 D0

0 0 1 D1

0 1 0 D2

0 1 1 D3

1 0 0 D4

1 0 1 D5

1 1 0 D6

1 1 1 D7

表一

1.利用条件信号赋值语句实现8选1数据选择器器功能。

2.完成设计的仿真,并记录、分析仿真波形。

设计思路

条件信号赋值语句也是一种并行信号赋值语句。条件信号赋值语句可以根据不同的条件将不同的表达式赋值给目标信号,格式如下:

信号<=表达式1WHEN 赋值条件1ELSE

表达式2WHEN 赋值条件2ELSE

……

表达式n;

设计原理图及源程序LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX8 IS

PORT(D:IN STD_LOGIC_VECTOR(7 DOWNTO 0); A:IN STD_LOGIC_VECTOR(2 DOWNTO 0); Q:OUT STD_LOGIC);

END ENTITY MUX8;

ARCHITECTURE one OF MUX8 IS

BEGIN Q<=D(0) WHEN A="000" ELSE

D(1) WHEN A="001" ELSE

D(2) WHEN A="010" ELSE

D(3) WHEN A="011" ELSE

D(4) WHEN A="100" ELSE

D(5) WHEN A="101" ELSE

D(6) WHEN A="110" ELSE

D(7) WHEN A="111" ELSE

'Z';

END one;

仿真波形图

实验

总结及个人心得体会

通过本次实验,复习了之前学习的条件信号赋值语句。条件信号赋值语句在执行时,首先要进行条件判断,然后再进行信号赋值操作。同时,进一步加强了对8选1数据选择器的逻辑功能的理解。

教师

评分

教师签名

日期操作成绩报告成绩

相关文档
最新文档