数字电路实验报告

合集下载

数字电路设计实训实验报告

数字电路设计实训实验报告

一、实验目的1. 熟悉数字电路的基本组成和基本逻辑门电路的功能。

2. 掌握组合逻辑电路的设计方法,包括逻辑表达式化简、逻辑电路设计等。

3. 提高动手实践能力,培养独立思考和解决问题的能力。

4. 理解数字电路在实际应用中的重要性。

二、实验原理数字电路是一种用数字信号表示和处理信息的电路,其基本组成单元是逻辑门电路。

逻辑门电路有与门、或门、非门、异或门等,它们通过输入信号的逻辑运算,输出相应的逻辑结果。

组合逻辑电路是由逻辑门电路组成的,其输出仅与当前输入信号有关,与电路的过去状态无关。

本实验将设计一个简单的组合逻辑电路,实现特定功能。

三、实验仪器与设备1. 数字电路实验箱2. 逻辑门电路(如与非门、或非门、异或门等)3. 逻辑电平测试仪4. 线路板5. 电源四、实验内容1. 组合逻辑电路设计(1)设计一个三人表决电路三人表决电路的输入信号为三个人的投票结果,输出信号为最终的表决结果。

根据题意,当至少有两人的投票结果相同时,输出为“通过”;否则,输出为“不通过”。

(2)设计一个4选1数据选择器4选1数据选择器有4个数据输入端、2个选择输入端和1个输出端。

根据选择输入端的不同,将4个数据输入端中的一个输出到输出端。

2. 组合逻辑电路搭建与测试(1)搭建三人表决电路根据电路设计,将三个与门、一个或门和一个异或门连接起来,构成三人表决电路。

(2)搭建4选1数据选择器根据电路设计,将四个或非门、一个与非门和一个与门连接起来,构成4选1数据选择器。

(3)测试电路使用逻辑电平测试仪,测试搭建好的电路在不同输入信号下的输出结果,验证电路的正确性。

3. 实验结果与分析(1)三人表决电路测试结果当输入信号为(1,0,0)、(0,1,0)、(0,0,1)时,输出为“通过”;当输入信号为(1,1,0)、(0,1,1)、(1,0,1)时,输出为“不通过”。

测试结果符合设计要求。

(2)4选1数据选择器测试结果当选择输入端为(0,0)时,输出为输入端A的信号;当选择输入端为(0,1)时,输出为输入端B的信号;当选择输入端为(1,0)时,输出为输入端C的信号;当选择输入端为(1,1)时,输出为输入端D的信号。

数字逻辑电路实验报告总结

数字逻辑电路实验报告总结

数字逻辑电路实验报告总结一、实验心路历程哎呀,数字逻辑电路实验可真是一段超级有趣又有点小折磨的经历呢!我刚接触这个实验的时候,就像走进了一个神秘的电路世界。

那些电路元件就像是一群小怪兽,我得想办法让它们乖乖听话。

我还记得刚开始的时候,我看着那些电路图,脑袋里就像一团乱麻。

但是我可没有被吓倒哦,我就一点点地去研究每个元件的功能,就像在探索一个个小秘密。

我拿着那些电路板,感觉自己就像是一个电路魔法师,要把这些小零件组合成一个神奇的电路。

二、实验内容与操作在实验过程中,有好多不同的电路要搭建呢。

比如说那个计数器电路,我得把那些触发器按照正确的顺序连接起来。

我一边看着电路图,一边小心翼翼地把元件插到电路板上,就怕插错了一个小地方,整个电路就罢工了。

还有那个译码器电路,要确保输入和输出的关系正确,我就反复地检查线路的连接,眼睛都快看花了。

每次给电路通电的时候,心里都超级紧张,就像在等待一场大惊喜或者大惊吓。

当电路正常工作的时候,那种成就感简直无法形容,就像是我创造了一个小奇迹一样。

三、实验中的困难与解决当然啦,实验也不是一帆风顺的。

我就遇到过电路怎么都不工作的情况。

我当时都快急死了,就像热锅上的蚂蚁。

我把电路检查了一遍又一遍,怀疑这个元件坏了,那个线路断了。

后来我突然发现,原来是有一个引脚没有接好,就这么一个小失误,就导致整个电路瘫痪。

找到问题之后,我赶紧把引脚接好,再通电的时候,电路就正常工作了。

这让我明白了,在做这种实验的时候,一定要超级细心,不能放过任何一个小细节。

四、实验收获通过这个数字逻辑电路实验,我可学到了不少东西呢。

我不仅对数字逻辑电路的原理有了更深刻的理解,还学会了如何耐心地去排查电路故障。

而且我的动手能力也大大提高了,以前我看到那些电路元件就发怵,现在我能熟练地把它们组合起来,做出各种有趣的电路。

这个实验就像是一个小挑战,我成功地战胜了它,感觉自己变得更强大了呢。

《数字电路》实验报告

《数字电路》实验报告

《数字电路》实验报告项目一逻辑状态测试笔的制作一、项目描述本项目制作的逻辑状态测试笔,由集成门电路芯片74HC00、发光二极管、电阻等元器件组成,项目相关知识点有:基本逻辑运算、基本门电路、集成逻辑门电路等;技能训练有:集成逻辑二、项目要求用集成门电路74HC00制作简易逻辑状态测试笔。

要求测试逻辑高电平时,红色发光二极管亮,测试逻辑低电平时绿色发光二极管亮。

三、原理框图四、主要部分的实现方案当测试探针A测得高电平时,VD1导通,三级管V发射级输出高电平,经G1反相后,输出低电平,发光二级管LED1导通发红光。

又因VD2截止,相当于G1输入端开路,呈高电平,输出低电平,G3输出高电平,绿色发光二级管LED2截止而不发光。

五、实验过程中遇到的问题及解决方法(1)LED灯不能亮:检查硬件电路有无接错;LED有无接反;LED有无烧坏。

(2)不能产生中断或中断效果:检查硬件电路有无接错;程序中有无中断入口或中断子程序。

(3)输入电压没有反应:数据原理图有没有连接正确,检查显示部分电路有无接错;4011逻辑门的输入端有无浮空。

六、心得体会第一次做的数字逻辑试验是逻辑状态测试笔,那时什么都还不太了解,听老师讲解完了之后也还不知道从何下手,看到前面的人都起先着手做了,心里很焦急可就是毫无头绪。

老师说要复制一些文件协助我们做试验(例如:试验报告模板、试验操作步骤、引脚等与试验有关的文件),还让我们先画原理图。

这时,关于试验要做什么心里才有了一个模糊的框架。

看到别人在拷贝文件自己又没有U盘只好等着借别人的用,当然在等的时候我也画完了逻辑测试笔的实操图。

后面几次都没有过,但最后真的发觉试验的次数多了,娴熟了,知道自己要做的是什么,明确了目标,了解了方向,其实也没有想象中那么困难。

七、元器件一逻辑状态测试笔电路八、附实物图项目二多数表决器电路设计与制作一、项目描述本项目是以组合逻辑电路的设计方法,用基本门电路的组合来完成具有多数表决功能的电路。

数字电路实验报告

数字电路实验报告

数字电路实验目录实验一组合逻辑电路分析 (1)实验二组合逻辑实验(一) (5)实验三组合逻辑实验(三) (9)实验四触发器和计数器 (16)实验五数字电路综合实验 (20)实验六555集成定时器 (22)实验七数字秒表 (25)实验一组合逻辑电路分析一、参考元件1、74LS00(四2输入与非门)2、74LS20(双4输入与非门)二、实验内容1、组合逻辑电路分析A B C DX15 V图1.1 组合逻辑电路分析电路图说明:ABCD按逻辑开关“1”表示高电平,“0”表示低电平;逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。

实验表格记录如下:表1.1 实验分析:由实验逻辑电路图可知:输出X1=AB CD •=AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。

2、密码锁问题:密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么?X1X25 VABCD图1.2 密码锁电路分析实验真值表记录如下:表1.2 实验分析:由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。

由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

实验二 组合逻辑实验(一)半加器和全加器 一、实验目的熟悉用门电路设计组合电路的原理和方法步骤。

二、预习内容1、复习用门电路设计组合逻辑电路的原理和方法步骤。

2、复习二进制数的运算①用“与非”门设计半加器的逻辑图 ②完成用“异或”门、“与或非”门、“与非”门设计全加器的逻辑图 ③完成用“异或”门设计三变量判奇电路的原理图 三、参考元件1、74LS283(集成超前4位进位加法器)2、74LS00(四2输入与非门)3、74LS51(双与或非门)4、74LS136(四2输入异或门) 四、实验内容1、用与非门组成半加器 由理论课知识可知:i S =i i A B ⊕=i i i i AB A B +=i i i i i i A B A A B B ••• i C =i i A B =i i A B根据上式,设计如下电路图:AiBi SiCi图2.1与非门设计半加器电路图得到如下实验结果:表2.1 半加器实验结果记录表格2、用异或门、与或非门、与非门组成全加器 由理论课知识可知:i S =1i i i A B C -⊕⊕ i C =1()i i i i i A B A B C -+⊕根据上式,设计如下电路:Ai BiCi-1SiCi图2.2 用异或门、与或非门、与非门设计的全加器表2.2 3、用异或门设计3变量判奇电路,要求变量中1的个数为奇数时,输出为1,否则为0. 根据题目要求可知:输出L=ABC ABC ABC ABC A B C +++=⊕⊕ 则可以设计出如下电路:74LS136NA B CL图2.3 用异或门设计的3变量判奇电路根据上图,可以得到如下实验数据表格:表2.3 4、用“74LS283”全加器逻辑功能测试U174LS283NS U M _410S U M _313S U M _14S U M _21C 49B 411A 412B 315A 314B 22A 23B 16A 15C 07图2.4 元件74LS283利用74LS283进行如下表格中的测试:表2.4 “74LS283实验三 组合逻辑实验(三)数据选择器和译码器的应用 一、实验目的熟悉数据选择器和数据分配器的逻辑功能和掌握其使用方法。

数字电字技术实验报告(3篇)

数字电字技术实验报告(3篇)

第1篇一、实验目的1. 理解数字电子技术的基本概念和原理。

2. 掌握数字电路的基本组成和逻辑功能。

3. 熟悉常用数字集成电路的使用方法和特点。

4. 培养分析和解决实际问题的能力。

二、实验器材1. 74LS系列数字集成电路2. 模拟电子实验箱3. 信号发生器4. 示波器5. 逻辑笔6. 连接线7. 电阻、电容、二极管等基础元件三、实验内容1. 数字电路基本组成和逻辑功能实验2. 常用数字集成电路实验3. 逻辑门电路实验4. 组合逻辑电路实验5. 时序逻辑电路实验四、实验原理1. 数字电路基本组成和逻辑功能:数字电路由逻辑门电路、触发器、计数器等基本单元组成,实现逻辑运算、计数、定时等功能。

2. 常用数字集成电路:包括逻辑门电路、触发器、计数器、译码器、编码器等。

3. 逻辑门电路:逻辑门电路是实现基本逻辑运算的单元,如与门、或门、非门等。

4. 组合逻辑电路:组合逻辑电路由逻辑门电路组成,实现输入与输出之间的逻辑关系。

5. 时序逻辑电路:时序逻辑电路由触发器组成,具有记忆功能,实现计数、定时等功能。

五、实验步骤与方法1. 数字电路基本组成和逻辑功能实验:(1)观察逻辑门电路的输入输出关系;(2)测试与门、或门、非门等基本逻辑门电路;(3)分析逻辑门电路的逻辑功能。

2. 常用数字集成电路实验:(1)观察数字集成电路的引脚排列和功能;(2)测试译码器、编码器、计数器等数字集成电路;(3)分析数字集成电路的逻辑功能。

3. 逻辑门电路实验:(1)观察逻辑门电路的输入输出关系;(2)测试与门、或门、非门等基本逻辑门电路;(3)分析逻辑门电路的逻辑功能。

4. 组合逻辑电路实验:(1)设计组合逻辑电路;(2)搭建实验电路;(3)观察电路的输入输出关系;(4)分析电路的逻辑功能。

5. 时序逻辑电路实验:(1)观察触发器的逻辑功能;(2)搭建时序逻辑电路;(3)观察电路的输入输出关系;(4)分析电路的逻辑功能。

六、实验结果与分析1. 数字电路基本组成和逻辑功能实验:通过实验,掌握了数字电路的基本组成和逻辑功能,了解了逻辑门电路的输入输出关系。

数字电路设计实验报告

数字电路设计实验报告

数字电路设计实验报告实验目的:通过数字电路设计实验,掌握数字电路的基本原理和设计方法,提高学生的实际动手能力和创新能力。

实验内容:1. 半加器的设计与测试2. 全加器的设计与测试3. 4位全加器的设计与测试实验步骤:1. 半加器的设计与测试半加器是最简单的加法器件,由XOR门和AND门构成。

首先根据半加器的真值表,设计出电路原理图,并使用Multisim软件进行模拟验证。

接着,搭建实际电路,连接信号发生器和示波器,输入不同的输入信号,观察输出结果,并记录实验数据。

2. 全加器的设计与测试全加器是实现多位数相加必不可少的组件,由两个半加器和一个OR门构成。

根据全加器的真值表,设计电路原理图,并进行Multisim 模拟验证。

接着,搭建实际电路,连接信号发生器和示波器,输入不同的输入信号组合,观察输出结果,记录实验数据。

3. 4位全加器的设计与测试利用已经设计好的全加器单元,进行4位数相加的实验。

将4个全加器连接起来,形成4位全加器电路,输入两个4位二进制数,观察输出结果。

通过实验验证4位全加器的正确性,并记录实验数据。

实验结果分析:经过实验验证,半加器、全加器和4位全加器电路均能正确实现加法运算,输出结果符合预期。

通过实验,加深了对数字电路原理的理解,掌握了数字电路设计的基本方法。

这对于今后的学习和工作都具有重要意义。

结论:通过本次数字电路设计实验,我深入了解了数字电路的原理和设计方法,提高了实际动手能力和创新能力。

数字电路设计是电子信息类专业的重要实践环节,通过不断的实践和探索,相信我能够更加扎实地掌握数字电路设计知识,为将来的研究和工作打下坚实基础。

愿未来的路上能够越走越宽广,越走越稳健。

数字电路实验报告

数字电路实验报告

数字电路实验报告实验目的本实验的目的是通过对数字电路的实际操作,加深对数字电路原理和实验操作的理解。

通过实验,理论联系实际,加深学生对数字电路设计和实现的认识和理解。

实验内容本次实验的实验内容主要包括以下几个方面:1.数码管显示电路实验2.时序电路实验3.组合电路实验实验仪器和器材本次实验所使用的仪器和器材包括:•真空发光数字数码管•通用数字逻辑芯片•实验箱•数字电路设计软件•示波器数码管显示电路实验在数码管显示电路实验中,我们将使用真空发光数字数码管和逻辑芯片来实现数字数码管的显示功能。

具体的实验步骤如下:1.按照实验箱上的电路图,将逻辑芯片及其它所需器件正确连接。

2.通过数字电路设计软件,编写和下载逻辑芯片的程序。

3.观察数码管的显示效果,检查是否符合预期要求。

时序电路实验时序电路是数字电路中非常重要的一部分,通过时序电路可以实现各种各样的功能。

在时序电路实验中,我们将通过设计一个简单的计时器电路来学习时序电路的设计和实现。

具体的实验步骤如下:1.在实验箱上按照电路图连接逻辑芯片及其它所需器件。

2.通过数字电路设计软件,编写和下载逻辑芯片的程序。

3.通过示波器观察时序电路的波形,检查是否符合设计要求。

组合电路实验组合电路是由多个逻辑门组合而成的电路,可以实现各种逻辑功能。

在组合电路实验中,我们将使用逻辑芯片和其他器件,设计并实现一个简单的闹钟电路。

具体的实验步骤如下:1.在实验箱上按照电路图连接逻辑芯片及其它所需器件。

2.通过数字电路设计软件,编写和下载逻辑芯片的程序。

3.测试闹钟电路的功能和稳定性,检查是否符合设计要求。

实验结果与分析通过以上的实验,我们成功地实现了数码管显示、时序电路和组合电路的设计和实现。

实验结果表明,在正确连接逻辑芯片和其他器件,并编写正确的程序的情况下,我们可以实现各种各样的数字电路功能。

通过实验过程中的观察和测试,我们也发现了一些问题和改进的空间。

例如,在时序电路实验中,我们发现时序电路的波形不够稳定,可能需要进一步优化。

数字电路设计实验vhdl语言实验报告

数字电路设计实验vhdl语言实验报告

实验一秒表计数器的设计实验目的:本实验通过设计四种频率可选的数字时钟系统, 以达到熟悉VHDL 语言编程语法、设计思路和熟练掌握Quartus II 开发软件的目的。

二、实验内容:该数字时钟的显示格式如下所示: HH: MM: SS, 其中HH表示时计数的两位, MM表示分计数的两位, SS表示秒计数的两位。

本系统输入信号分别为复位信号rst(高有效)、sel(两位信号, 分别可以选择2分频、4分频8分频和16分频)、clk_in(时钟信号)、8位时输出、8位分输出、8位秒输出(其中高4为表示对应的高半字节、低4位表示的低半字节, 譬如当时间为08:59:30时, 时输出为”0000_1000”,分输出为”0101_1001”,秒输出为”0011_0000”)。

该时钟系统可以通过Sel信号时钟运行的快慢。

三、实验流程:通过对实验内容的分析: 可以考虑时钟系统的可由三部分组成: 1.分频器:分频器为时序电路并且通过《数字电路》理论课程的学习可知由计数器来实现, 同学可以回想一下实验1中是如何实现计数器电路的设计), 该模块主要产生2.4.8、16分频的时钟信号;2.多路选择器:在VHDL中多路选择器为组合逻辑, 可以有多种实现方法, 在这里主要选用了case语句来实现。

该模块的作用是从分频器中根据Sel信号选择适当的时钟信号;3.时钟控制器:该模块比较复杂, 主要实现功能是实现一个24小时的计时。

当时间为00:00:59的时候下一个时钟到来时状态的跳变为00:01:00, 计时中多数计数为加1操作, 有几个特殊状态需要重点考虑:当时间产生分进数时, 譬如上例。

当时间产生时进数时, 譬如00:01:59时刻的下一个状态为00:02:00;当时间产生时进数时, 譬如00:59:59是个的下一个状态为01:00:00。

当时间产生天进数时, 譬如23:59:59的下一个状态为00:00:00。

四、仿真要求:1、本次试验的结果全部采用功能仿真分析:在结果图中能够看到让复位信号rst为有效的情况下, 所有的输出为00:00:00;2.当频率选择输出分别为”00”、”01”、”10”、”11”时秒为的进数分别包含2.4.8、16倍clk_in的时钟周期;3.可以看到完整的计时周期00:00:00->23:59:59->00:00:00。

VHDL数字电路课程实验报告

VHDL数字电路课程实验报告

VHDL数字电路课程实验报告实验一8分频器一、实验要求:分别用信号量和变量实现八分频器二、实验过程:1、代码:8分频器vhdlibrary ieee;use ieee.std_logic_1164.all;entity freq_divider isport(clk: in std_logic;out1, out2: buffer bit);end freq_divider;architecture example of freq_divider issignal count1: integer range 0 to 7;beginprocess(clk)variable count2: integer range 0 to 7;beginif(clk'event and clk='1') thencount1<=count1+1;count2:=count2+1;if(count1=3) thenout1<=not out1;count1<=0;end if;if(count2=4) thenout2<=not out2;count2:=0;end if;end if;end process;end example;八分频器tbLIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY fd_tb isEND fd_tb;architecture behavior of fd_tb iscomponent freq_dividerport(clk:IN STD_LOGIC;out1, out2: buffer bit);end component;signal clk:std_logic;signal out1,out2:bit;beginu1: freq_divider port map(clk,out1,out2);processbeginclk<='0';wait for 50 ns;loopclk<=not clk;wait for 25 ns;end loop;end process;end behavior;2、结果图:实验二实现例8.6一、实验要求:电路只有一个输入时钟信号,输出信号在适中的两个边沿都会发生变化二、实验内容:1、代码信号发生器vhdENTITY signal_gen ISPORT (clk: IN BIT;outp: OUT BIT);END signal_gen;ARCHITECTURE fsm OF signal_gen ISTYPE state IS (one, two, three);SIGNAL pr_state1, nx_state1: state;SIGNAL pr_state2, nx_state2: state;SIGNAL out1, out2: BIT;BEGINPROCESS(clk)BEGINIF (clk'EVENT AND clk = '1') THENpr_state1 <= nx_state1;END IF;END PROCESS;PROCESS (clk)BEGINIF (clk'EVENT AND clk = '0') THENpr_state2 <= nx_state2;END IF;END PROCESS;PROCESS (pr_state1)BEGINCASE pr_state1 ISWHEN one =>out1 <= '0';nx_state1 <= two;WHEN two =>out1 <= '1';nx_state1 <= three;WHEN three =>out1 <= '1';nx_state1 <= one;END CASE;END PROCESS;PROCESS (pr_state2)BEGINCASE pr_state2 ISWHEN one =>out2 <= '1';nx_state2 <= two;WHEN two =>out2 <= '0';nx_state2 <= three;WHEN three =>out2 <= '1';nx_state2 <= one;END CASE;END PROCESS;outp <= out1 AND out2;END fsm;信号发生器tbentity tb_fsm isend tb_fsm;architecture behavior of tb_fsm is component signal_gen isport( clk: in bit;outp: out bit);end component;signal clk,outp:bit;beginu1: signal_gen port map(clk,outp); processbeginclk<='0';wait for 20 ns;loopclk<=not clk;wait for 10 ns;end loop;end process;end behavior;2、结果图实验三常数比较器一、实验要求常数比较器,用于比较的变量位宽应大于等于常数二、实验内容1、代码常数比较器vhdLIBRARY ieee;USE ieee.std_logic_1164.all;entity compare isport(b: in integer range 0 to 15;x1,x2,x3: out std_logic);end compare;architecture compare of compare isconstant a: integer:=10;beginx1<='1' when a>b else '0';x2<='1' when a=b else '0';x3<='1' when a<b else '0';end compare;常数比较器tbLIBRARY ieee;USE ieee.std_logic_1164.all;entity tb_compare isend tb_compare;architecture behavior of tb_compare iscomponent compareport(b: in integer range 0 to 15;x1,x2,x3: out std_logic);end component;signal b: integer;signal x1,x2,x3: std_logic;beginu1: compare port map(b, x1,x2,x3);processbeginb<=5; wait for 10 ns;b<=8; wait for 10 ns;b<=10; wait for 10 ns;b<=13; wait for 10 ns; b<=10; wait for 10 ns; b<=3; wait for 10 ns; end process;end behavior;2、结果图实验四序列检测器一、实验要求序列检测’1001’弱检测到,输出‘1‘,否则输出’0‘二、实验内容1、状态图2、代码序列检测器vhdlibrary ieee;use ieee.std_logic_1164.all;entity string_detector isport(datain,clk: in bit;q: out bit);end string_detector;architecture sd of string_detector istype state is (zero, one, two, three, four);signal pr_state, nx_state: state;beginprocess(clk)beginif(clk'event and clk='1') thenpr_state<=nx_state;end if;end process;process(datain, pr_state)begincase pr_state iswhen zero=>q<='0';if(datain='1') then nx_state<=one;else nx_state<=zero;end if;when one=>q<='0';if(datain='0') then nx_state<=two;else nx_state<=zero;end if;when two=>q<='0';if(datain='0') then nx_state<=three;else nx_state<=zero;end if;when three=>q<='0';if(datain='1') then nx_state<=four;else nx_state<=zero;end if;when four=>q<='1';nx_state<=zero;end case;end process;end sd;序列检测器tb------------------------------------------------------------------ library ieee;use ieee.std_logic_1164.all;------------------------------------------------------------------ entity testBench isend testBench;------------------------------------------------------------------architecture test of testBench iscomponent string_detector isport(datain,clk: in bit;q: out bit);end component;signal datain,clk:bit;signal q:bit;beginSD: string_detector port map(datain,clk,q);processbeginfor i in 0 to 100 loopclk<='0';wait for 10 ns;clk<='1';wait for 10 ns;end loop;end process;processbegindin<='1';wait for 20ns;din<='0';wait for 20ns;din<='0';wait for 20ns;din<='0';wait for 20ns;din<='1';wait for 20ns;din<='0';wait for 20ns;din<='0';wait for 20ns;din<='1';wait for 20ns;din<='0';wait for 20ns;din<='1';wait for 20ns;din<='0';wait for 20ns;end process;end test;3、结果图。

数电实验报告东大

数电实验报告东大

一、实验目的1. 理解数字电路的基本组成和基本原理。

2. 掌握常用数字电路的分析和设计方法。

3. 提高动手实践能力,加深对数字电路理论知识的理解。

二、实验内容本次实验主要包含以下内容:1. 数字电路基础实验2. 组合逻辑电路实验3. 时序逻辑电路实验三、实验仪器与设备1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 计算器5. 实验指导书四、实验原理1. 数字电路基础实验:通过实验了解数字电路的基本组成和基本原理,包括逻辑门、编码器、译码器等。

2. 组合逻辑电路实验:通过实验掌握组合逻辑电路的分析和设计方法,包括加法器、编码器、译码器、数据选择器等。

3. 时序逻辑电路实验:通过实验掌握时序逻辑电路的分析和设计方法,包括触发器、计数器、寄存器等。

五、实验步骤1. 数字电路基础实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行逻辑门、编码器、译码器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

2. 组合逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行加法器、编码器、译码器、数据选择器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

3. 时序逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行触发器、计数器、寄存器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

六、实验结果与分析1. 数字电路基础实验- 通过实验,验证了逻辑门、编码器、译码器等电路的基本原理和功能。

- 实验结果符合理论预期,验证了数字电路的基本组成和基本原理。

2. 组合逻辑电路实验- 通过实验,掌握了组合逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了组合逻辑电路的基本原理。

3. 时序逻辑电路实验- 通过实验,掌握了时序逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了时序逻辑电路的基本原理。

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数字电路触发器实验报告

数字电路触发器实验报告

一、实验目的1. 理解触发器的原理和功能。

2. 掌握触发器的电路组成和基本工作原理。

3. 学习触发器在数字电路中的应用。

4. 提高实验操作能力和分析问题的能力。

二、实验原理触发器是一种具有记忆功能的数字电路,它能够保存一个二进制状态。

触发器的基本类型有RS触发器、JK触发器、D触发器等。

本实验以RS触发器为例,介绍触发器的原理和功能。

RS触发器由两个与非门组成,其中S为置位端,R为复位端,Q为输出端,Q'为输出端的反相端。

当S=0,R=1时,触发器被置位,Q=1,Q'=0;当S=1,R=0时,触发器被复位,Q=0,Q'=1;当S=0,R=0时,触发器保持原状态;当S=1,R=1时,触发器处于不定状态。

三、实验仪器与设备1. 数字电路实验箱2. 74LS00集成电路(与非门)3. 逻辑电平开关4. 逻辑电平显示器5. 连接线四、实验步骤1. 连接电路根据实验原理图,将两个与非门连接起来,构成RS触发器。

具体连接方式如下:(1)将与非门的输入端A1、A2分别连接到逻辑电平开关;(2)将与非门的输出端Y1、Y2分别连接到逻辑电平显示器;(3)将与非门的输出端Y1连接到与非门的输入端B1,将与非门的输出端Y2连接到与非门的输入端B2。

2. 观察触发器状态(1)打开电源,将S端置为0,R端置为1,观察Q和Q'端的状态,记录下来;(2)将S端置为1,R端置为0,观察Q和Q'端的状态,记录下来;(3)将S端置为0,R端置为0,观察Q和Q'端的状态,记录下来;(4)将S端置为1,R端置为1,观察Q和Q'端的状态,记录下来。

3. 分析实验结果根据实验步骤观察到的触发器状态,分析触发器在不同输入下的工作原理,验证触发器的功能。

五、实验结果与分析1. 观察到当S=0,R=1时,触发器被置位,Q=1,Q'=0;2. 观察到当S=1,R=0时,触发器被复位,Q=0,Q'=1;3. 观察到当S=0,R=0时,触发器保持原状态;4. 观察到当S=1,R=1时,触发器处于不定状态。

数字电路实验报告_北邮

数字电路实验报告_北邮

一、实验目的本次实验旨在通过实践操作,加深对数字电路基本原理和设计方法的理解,掌握数字电路实验的基本步骤和实验方法。

通过本次实验,培养学生的动手能力、实验技能和团队合作精神。

二、实验内容1. 实验一:TTL输入与非门74LS00逻辑功能分析(1)实验原理TTL输入与非门74LS00是一种常用的数字逻辑门,具有高抗干扰性和低功耗的特点。

本实验通过对74LS00的逻辑功能进行分析,了解其工作原理和性能指标。

(2)实验步骤① 使用实验箱和实验器材搭建74LS00与非门的实验电路。

② 通过实验箱提供的逻辑开关和指示灯,验证74LS00与非门的逻辑功能。

③ 分析实验结果,总结74LS00与非门的工作原理。

2. 实验二:数字钟设计(1)实验原理数字钟是一种典型的数字电路应用,由组合逻辑电路和时序电路组成。

本实验通过设计一个24小时数字钟,使学生掌握数字电路的基本设计方法。

(2)实验步骤① 分析数字钟的构成,包括分频器电路、时间计数器电路、振荡器电路和数字时钟的计数显示电路。

② 设计分频器电路,实现1Hz的输出信号。

③ 设计时间计数器电路,实现时、分、秒的计数。

④ 设计振荡器电路,产生稳定的时钟信号。

⑤ 设计数字时钟的计数显示电路,实现时、分、秒的显示。

⑥ 组装实验电路,测试数字钟的功能。

3. 实验三:全加器设计(1)实验原理全加器是一种数字电路,用于实现二进制数的加法运算。

本实验通过设计全加器,使学生掌握全加器的工作原理和设计方法。

(2)实验步骤① 分析全加器的逻辑功能,确定输入和输出关系。

② 使用实验箱和实验器材搭建全加器的实验电路。

③ 通过实验箱提供的逻辑开关和指示灯,验证全加器的逻辑功能。

④ 分析实验结果,总结全加器的工作原理。

三、实验结果与分析1. 实验一:TTL输入与非门74LS00逻辑功能分析实验结果表明,74LS00与非门的逻辑功能符合预期,具有良好的抗干扰性和低功耗特点。

2. 实验二:数字钟设计实验结果表明,设计的数字钟能够实现24小时计时,时、分、秒的显示准确,满足实验要求。

最新数字电路实验二实验报告

最新数字电路实验二实验报告

最新数字电路实验二实验报告实验目的:1. 理解并掌握数字电路的基本组成原理和工作原理。

2. 学习使用数字逻辑分析仪进行电路测试和故障诊断。

3. 通过实验加深对组合逻辑和时序逻辑电路设计的理解。

实验内容:1. 设计并搭建一个4位二进制加法器电路。

2. 实现一个简单的数字时钟电路,能够显示时、分、秒。

3. 使用数字逻辑分析仪检测电路的功能和时序。

实验设备:1. 数字逻辑分析仪2. 示波器3. 集成电路芯片(如74LS系列)4. 面包板5. 跳线实验步骤:1. 根据实验指导书,选择合适的逻辑门芯片,设计4位二进制加法器电路。

2. 在面包板上搭建电路,并使用跳线连接逻辑门。

3. 利用数字逻辑分析仪检查电路的输入输出情况,确保电路正确实现二进制加法功能。

4. 设计数字时钟电路,包括计数器、分频器和显示模块。

5. 同样在面包板上搭建数字时钟电路,并进行测试,调整电路以确保时间显示准确无误。

6. 再次使用数字逻辑分析仪,观察时钟电路的时序关系和稳定性。

实验结果:1. 成功搭建了4位二进制加法器电路,并通过测试,验证了其加法功能。

2. 数字时钟电路运行正常,能够准确显示时间,并通过逻辑分析仪确认了其稳定的时序关系。

实验分析:1. 在实验过程中,发现加法器电路在处理进位时存在延迟,通过优化电路布局和选择合适的逻辑门芯片,成功解决了问题。

2. 数字时钟电路的分频部分需要精确的电阻和电容值,实验中通过调整这些元件的参数,确保了时钟的准确性。

实验结论:通过本次实验,加深了对数字电路设计和测试的理解,特别是在组合逻辑和时序逻辑方面的应用。

同时,也提高了使用数字逻辑分析仪进行电路分析和问题诊断的能力。

北科大__数电实验报告(3篇)

北科大__数电实验报告(3篇)

第1篇实验一:组合逻辑电路分析与设计一、实验目的1. 理解组合逻辑电路的基本概念和特点。

2. 掌握组合逻辑电路的分析方法。

3. 学会使用逻辑门电路设计简单的组合逻辑电路。

二、实验原理组合逻辑电路是指电路的输出仅与当前的输入有关,而与电路之前的状态无关。

组合逻辑电路通常由逻辑门组成,如与门、或门、非门、异或门等。

三、实验设备1. 数字电路实验箱2. 逻辑门电路芯片3. 导线4. 示波器四、实验内容1. 实验一:逻辑门电路识别(1)搭建一个简单的逻辑门电路,如与非门。

(2)使用示波器观察输入和输出信号,验证逻辑门电路的功能。

(3)记录实验数据,并分析实验结果。

2. 实验二:组合逻辑电路分析(1)设计一个简单的组合逻辑电路,如奇偶校验电路。

(2)根据电路图,列出真值表。

(3)使用逻辑门电路搭建电路,并观察输入和输出信号。

(4)记录实验数据,并分析实验结果。

3. 实验三:组合逻辑电路设计(1)设计一个组合逻辑电路,如二进制加法器。

(2)根据电路图,列出真值表。

(3)使用逻辑门电路搭建电路,并观察输入和输出信号。

(4)记录实验数据,并分析实验结果。

五、实验结果与分析1. 实验一:逻辑门电路识别通过搭建简单的逻辑门电路,观察输入和输出信号,验证了逻辑门电路的功能。

2. 实验二:组合逻辑电路分析通过设计奇偶校验电路,观察输入和输出信号,验证了组合逻辑电路的正确性。

3. 实验三:组合逻辑电路设计通过设计二进制加法器,观察输入和输出信号,验证了组合逻辑电路的正确性。

六、实验心得与体会1. 通过本次实验,我对组合逻辑电路有了更深入的了解,掌握了组合逻辑电路的分析方法和设计方法。

2. 实验过程中,我学会了使用逻辑门电路搭建电路,并观察输入和输出信号,验证电路的正确性。

3. 本次实验提高了我的动手能力和逻辑思维能力,对我今后的学习和工作具有重要意义。

七、实验改进建议1. 在实验过程中,可以尝试使用不同的逻辑门电路搭建电路,以加深对逻辑门电路的理解。

数电的小实验报告(3篇)

数电的小实验报告(3篇)

第1篇一、实验目的1. 熟悉数字电路实验的基本操作流程;2. 掌握基本数字电路的组成和原理;3. 培养动手能力和问题解决能力。

二、实验设备1. 数字电路实验箱;2. 万用表;3. 导线;4. 面包板;5. 计算器。

三、实验内容1. 基本逻辑门电路实验2. 组合逻辑电路实验3. 时序逻辑电路实验四、实验原理1. 基本逻辑门电路:逻辑门电路是数字电路的基础,包括与门、或门、非门、异或门等。

通过这些逻辑门电路的组合,可以实现复杂的逻辑功能。

2. 组合逻辑电路:组合逻辑电路由基本逻辑门电路组成,其输出仅取决于当前输入信号。

常见的组合逻辑电路有编码器、译码器、多路选择器等。

3. 时序逻辑电路:时序逻辑电路由触发器组成,其输出不仅取决于当前输入信号,还与电路的历史状态有关。

常见的时序逻辑电路有计数器、寄存器、触发器等。

五、实验步骤1. 基本逻辑门电路实验(1)按照实验指导书的要求,搭建与门、或门、非门、异或门等逻辑门电路;(2)使用万用表测量各逻辑门的输入、输出电压;(3)根据实验数据,验证各逻辑门的功能。

2. 组合逻辑电路实验(1)按照实验指导书的要求,搭建编码器、译码器、多路选择器等组合逻辑电路;(2)使用万用表测量各组合逻辑电路的输入、输出电压;(3)根据实验数据,验证各组合逻辑电路的功能。

3. 时序逻辑电路实验(1)按照实验指导书的要求,搭建计数器、寄存器、触发器等时序逻辑电路;(2)使用万用表测量各时序逻辑电路的输入、输出电压;(3)根据实验数据,验证各时序逻辑电路的功能。

六、实验结果与分析1. 基本逻辑门电路实验实验结果显示,与门、或门、非门、异或门等逻辑门电路的功能与理论分析一致。

2. 组合逻辑电路实验实验结果显示,编码器、译码器、多路选择器等组合逻辑电路的功能与理论分析一致。

3. 时序逻辑电路实验实验结果显示,计数器、寄存器、触发器等时序逻辑电路的功能与理论分析一致。

七、实验总结通过本次实验,我熟悉了数字电路实验的基本操作流程,掌握了基本数字电路的组成和原理,提高了动手能力和问题解决能力。

数电综合实验报告(3篇)

数电综合实验报告(3篇)

第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。

2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。

3. 通过综合实验,培养团队合作精神和实践操作能力。

二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。

2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。

3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。

三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。

(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。

(3)使用ModelSim软件对加法器进行仿真,验证其功能。

2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。

(2)使用Verilog HDL语言编写代码,实现4位计数器。

(3)使用ModelSim软件对计数器进行仿真,验证其功能。

3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。

(2)使用Verilog HDL语言编写代码,实现数字时钟功能。

(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。

四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。

2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。

3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。

五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。

2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。

3. 培养了团队合作精神和实践操作能力。

六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。

2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。

数字系统电路实验报告(3篇)

数字系统电路实验报告(3篇)

第1篇一、实验目的1. 理解数字系统电路的基本原理和组成。

2. 掌握数字电路的基本实验方法和步骤。

3. 通过实验加深对数字电路知识的理解和应用。

4. 培养学生的动手能力和团队合作精神。

二、实验原理数字系统电路是由数字逻辑电路构成的,它按照一定的逻辑关系对输入信号进行处理,产生相应的输出信号。

数字系统电路主要包括逻辑门电路、触发器、计数器、寄存器等基本单元电路。

三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 示波器4. 逻辑分析仪5. 编程器四、实验内容1. 逻辑门电路实验(1)实验目的:熟悉TTL、CMOS逻辑门电路的逻辑功能和测试方法。

(2)实验步骤:1)搭建TTL与非门电路,测试其逻辑功能;2)搭建CMOS与非门电路,测试其逻辑功能;3)测试TTL与门、或门、非门等基本逻辑门电路的逻辑功能。

2. 触发器实验(1)实验目的:掌握触发器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建D触发器电路,测试其逻辑功能;2)搭建JK触发器电路,测试其逻辑功能;3)搭建计数器电路,实现计数功能。

3. 计数器实验(1)实验目的:掌握计数器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建同步计数器电路,实现加法计数功能;2)搭建异步计数器电路,实现加法计数功能;3)搭建计数器电路,实现定时功能。

4. 寄存器实验(1)实验目的:掌握寄存器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建4位并行加法器电路,实现加法运算功能;2)搭建4位并行乘法器电路,实现乘法运算功能;3)搭建移位寄存器电路,实现数据移位功能。

五、实验结果与分析1. 逻辑门电路实验通过搭建TTL与非门电路和CMOS与非门电路,测试了它们的逻辑功能,验证了实验原理的正确性。

2. 触发器实验通过搭建D触发器和JK触发器电路,测试了它们的逻辑功能,实现了计数器电路,验证了实验原理的正确性。

3. 计数器实验通过搭建同步计数器和异步计数器电路,实现了加法计数和定时功能,验证了实验原理的正确性。

数字电路实验的实验报告(3篇)

数字电路实验的实验报告(3篇)

第1篇一、实验目的1. 理解和掌握数字电路的基本原理和组成。

2. 熟悉数字电路实验设备和仪器的基本操作。

3. 培养实际动手能力和解决问题的能力。

4. 提高对数字电路设计和调试的实践能力。

二、实验器材1. 数字电路实验箱一台2. 74LS00若干3. 74LS74若干4. 74LS138若干5. 74LS20若干6. 74LS32若干7. 电阻、电容、二极管等元器件若干8. 万用表、示波器等实验仪器三、实验内容1. 基本门电路实验(1)验证与非门、或非门、异或门等基本逻辑门的功能。

(2)设计简单的组合逻辑电路,如全加器、译码器等。

2. 触发器实验(1)验证D触发器、JK触发器、T触发器等基本触发器的功能。

(2)设计简单的时序逻辑电路,如计数器、分频器等。

3. 组合逻辑电路实验(1)设计一个简单的组合逻辑电路,如4位二进制加法器。

(2)分析电路的输入输出关系,验证电路的正确性。

4. 时序逻辑电路实验(1)设计一个简单的时序逻辑电路,如3位二进制计数器。

(2)分析电路的输入输出关系,验证电路的正确性。

5. 数字电路仿真实验(1)利用Multisim等仿真软件,设计并仿真上述实验电路。

(2)对比实际实验结果和仿真结果,分析误差原因。

四、实验步骤1. 实验前准备(1)熟悉实验内容和要求。

(2)了解实验器材的性能和操作方法。

(3)准备好实验报告所需的表格和图纸。

2. 基本门电路实验(1)搭建与非门、或非门、异或门等基本逻辑电路。

(2)使用万用表测试电路的输入输出关系,验证电路的功能。

(3)记录实验数据,分析实验结果。

3. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发电路。

(2)使用示波器观察触发器的输出波形,验证电路的功能。

(3)记录实验数据,分析实验结果。

4. 组合逻辑电路实验(1)设计4位二进制加法器电路。

(2)搭建电路,使用万用表测试电路的输入输出关系,验证电路的正确性。

(3)记录实验数据,分析实验结果。

数字电路实验报告 2023年数字电路实训报告(精彩7篇)

数字电路实验报告 2023年数字电路实训报告(精彩7篇)

数字电路实验报告2023年数字电路实训报告(精彩7篇)用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。

由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。

下面是作者给大家整理的7篇2023年数字电路实训报告,希望可以启发您对于数字电路实验报告的写作思路。

数字电路实训报告篇一一、实训时间__二、实训地点__电工电子实习基地三、指导老师__四、实训目的1、熟悉电工工具的使用方法。

2、了解安全用电的有关知识及触电的急救方法。

3、掌握电工基本操作技能。

4、熟悉电动机控制电路的调试及故障排除方法。

5、熟悉电动机板前配线的工艺流程及安装方法。

6、了解电动机正转反转电路设计的一般步骤,并掌握电路图的绘制方法。

7、熟悉常用电器元件的性能、结构、型号、规格及使用范围。

五、实训资料(一)常用低压电器介绍1、螺旋式熔断器螺旋式熔断器电路中较简单的短路保护装置,使用中,由于电流超过容许值产生的热量使串联于主电路中的熔体熔化而切断电路,防止电器设备短路或严重过载。

它由熔体、熔管、盖板、指示灯和触刀组成。

选取熔断器时不仅仅要满足熔断器的形式贴合线路和安装要求,且务必满足熔断器额定电压小于线路工作电压,熔断器额定电流小于线路工作电流。

2、热继电器热继电器是用来保护电动机使之免受长期过载的危害。

但是由于热继电器的热惯性,它只能做过载保护。

它由热元件、触头系统、动作机构、复位按钮、整定电流装置、升温补偿元件组成。

其工作原理为:热元件串接在电动机定子绕组仲,电动机绕组电流即为流动热元件的电流。

电动机正常运行时热元件产生热量虽能使双金属片弯曲还不足以使继电器动作。

电动机过载时,经过热元件电流增大,热元件热量增加,使双金属片弯曲增大,经过一段时光后,双金属片推动导板使继电器出头动作,从而切断电动机控制电路。

3、按钮开关按钮开关是用来接通或断开控制电路的,电流比较小。

按钮由动触点和静触点组成。

其工作原理为:按下按钮时,动触点就把下边的静触点接通而断开上边的静触点。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电路课内大作业
作业选择:交通灯控制器和智能数字时钟设计
一、实验目的
提高对课本知识的深刻理解,熟悉数字电路设计的主要方法和
思想,锻炼自己的独立思考能力和动手能力,灵活运用所学习
的知识,加强自己发现问题解决问题的能力,把理论知识学以
致用。

交通灯控制器
二、实验主要器材以及仿真原件
电脑软件:Multisim12.0原件清单:七段显示数码管2个、指
示灯6个、74LS160D芯片两块、74LS194D芯片两块、单刀双置
开关1个、74LS112D芯片2块、非门若干、与非门、与门等。

三、设计思路、过程以及设计原理
设计交通灯首先需要明确交通灯的工作原理,交通灯是工作在交叉路口处,控制车辆,目的是交通正常运行,减少事故的发生,交通信号灯由红灯、绿灯、黄灯组成。

红灯表示禁止通行,绿灯表示准许通行,黄灯表示警示。

他们具体指示的信息为红灯亮,禁止直行或左转弯,在不碍行人和车辆情况下,允许车辆右转弯;绿灯亮,准许车辆直行或转弯;黄灯亮,停在路口停止线或人行横道线以内,已经继续通行;黄灯闪烁时,警告车辆注意安全。

在本实验设计的交通灯中,它主要可以实现的功能为:一个循环周期共有48s,在一个循环周期内红灯点亮24s,绿灯点亮20s,黄灯
电路中使用的各个模块的介绍:
74LS194介绍:74LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHZ,其逻辑符号及引脚排列如下图所示:
其中:D0~D1为并行输入端;Q0~Q3为并行输出端;SR--右移串引输入端;SL--左移串引输入端;S1、S0-操作模式控制端;-为直接无条件清零端;CP-为时钟脉冲输入端。

74LS194模式控制及状态输出如下表所示。

用74LS194构成模12扭环计数器:两片74LS194芯片可构成8位右移移位寄存器,按照下图所示的接法可以构成模12计数器
74LS160介绍:74LS160是十进制计数器也就是说它只能记十个数从0000-1001(0-9)到9之后再来时钟就回到0首先是clk这是时钟,之后是rco这是输出,MR是复位低电频有效(图上接线前面花圈的都是低电平有效),load是置数信号当他为低电平时在始终作用下读入D0到D3为了使161正常工作ENP和ENT接1另外D0到D3是置数端Q0到Q3是输出端
74LS160构成24进制计数器,从0态开始计数,具体解法如下图所示:
以上便是本设计的主要芯片功能以及主要模块实现,根据以上的思路和过程,我完成了以下的实验结果。

四、实验结果展示
时钟计数模块:
扭环计数模块:
总体电路设计图:
功能测试

根据前面的设计思路完成了以上测试。

智能数字时钟设计
二、实验原件以及用具:
电脑软件:Multisim12.0原件清单:七段显示数码管6个、、
74LS161N芯片两块、74LS160N芯片6块、非门若干、与非门、与门等其他必要原件。

四、实验设计原理以及思路
数字电子钟是一种用数字显示秒分时的记时装置传统的机械钟相比他具有走时准确显示直观无机械传动装置等优点因而得到了广泛的应用,它已经成了我们生活中必不可少的一种工具。

本次设计的智能时钟主要的功能为显示秒分时,除此之外,此智能时钟还具有整点报时功能,本时钟运用了一个蜂鸣器来实现整点报时。

下面为本次电路设计的系统框图:
本次设计的主要思路为:为了设计一个能显示秒、分钟、小时的智能电子时钟,需要设计另个模为60的计数器来用作秒和分钟的显示,在设计一模为24的计数器来用作小时的显示,这三个计数器之间必须满足下列的关系:由秒及分的60
进制分别到59时进行对分和
时进行进位而时为24进制当到达23
时之后进行清零从而实现数字时钟的相应功能。

为了使电路具有整点报时功能,每当显示小时的计数
器进位时,
蜂鸣器也需要接收相应的信号,从而实现电路的整点报时功能。

主要芯片功能介绍:
74LS161:74LS161是4位16进制同步计数器,有异步清零端和异步置数端,可以将输出译码反馈到异步清零端,构成16以下的任
意进制。

它的引脚图如下所示:
它的功能表为:
从功能表的第一行可知,当CR=0(输入低电平),则不管其他输入
端(包括CP端)状态如何,四个数据输出端QA、
QB、QC、QD全部清零。


于这一清零操作不需要时钟脉冲CP配合(即不管CP是什么状态都行),所以CR为异步清零端,且低电平有效,也可以说该计数器具有“异步清零”功能。

从功能表的第二行可知,当CR=1且LD=0时,时钟
脉冲CP上升沿到达,四个数据输出端QA、QB、QC、QD同时分别接收并行数据输入信号a、b、c、d。

由于这个置数操作必须有CP上升沿配合,并与CP上升沿同步,所以称那么该芯片具有“同步置数”功能。

从功能表的第三行可知,当LD=CR=1,CTr=CTp=1时,则计数脉冲CP实现同步十进制加计数;而从功能表的第四行又知道,当CR=LD=1时,只要CTr和ENP中有一个为0,则不管CP状态如何(包括上升沿),计数器所有数据输出都保持原状态不变。

因此,CTr和CTp应该为计数控制端,当它们同时为1时,计数器执行正常同步计数功能;而当它们有一个为0时,计数器执行保持功能。

另外,进位输出QCC= CTr·Q0·Q1·Q2·Q3表明,进位输出端仅当计数控制端CTr=1且计数器状态为15时它才为1,否则为0
若要设计成模60以及设计模24计数器则需要两片芯74LS161芯片,用两片74LS161芯片,一片控制个位,为十进制;另一片控制十位,为六进制。

利用74LS161本身的控制端(完成十进制,在达到1001(即十进制的九)时,给第二个芯片一个脉冲使第二个芯片计数加一,同时第一片清零,这样反复,直到第二片达到0110时第二片自身清零,这样完成一次60的计数,且回到初态,两片74LS161全部清零,继续重复计数。

芯片的具体连接方法如下所示:
这样便可构成模60计数。

具体电路模块为:
秒钟:
分钟:
同理也可以构成模24计数:
具体电路小时显示:
555定时器介绍:
具体电路模块为:
555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。

因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。

下图为用555定时器设计的多谐振荡器的电路图及其电路产生的波形
由多谐振荡器原理,结合上图可知其振荡周期T=T1+T2,T1为电容充电时间,T2为电容放电时间。

充电时间T1=(R1+R2)CLn2=0.7(R1+R2)
放电时间T2=R2CLn2=0.7R2C
矩形波震荡周期:T=0.7(R1+2R2)C
555组成的多谐振荡器实际电路参数的选择:
由于实际电路所给的器件有限,其R1 = R2 =4. K,RC振荡器电容1uF ,5号管脚所接的Cs为10PF。

所以其振荡T=1.53*0.7=1.071s,所以其
周期为约为1s.
在电路中的连接如下:
整体电路截图:
实验电路全图
五、实验总结
在本次试验中虽然做出了最终的电路图,但也遇到了好多困难,在做的过程中也请教了好多同学,但最终做出的电路也没有完
全达到预期的目标但是也有好多收获与感悟,进行电路设计理
论基础致死是一定要具备的并且还要非常的熟练,但是掌握这
些远远不够的,还需要有极强的的思考能力以及自己查错的能
力,通过这次电路设计我对数字电路有了更加深刻的了解,对
所学的知识有了更加深刻的领悟但是还有好多东西要学习,在
以后的学习中必须努力并且细心认真。

相关文档
最新文档