D触发器

D触发器
D触发器

基于 CMOS 的 D 触

发器的设计

一、设计目的:

1、进一步熟悉cadence软件的使用;

2、掌握cadence的原理图编辑及修改方法;

3、掌握cadence前仿的参数设置和方法;

4、掌握D触发器的功耗、截止频率和瞬态仿真。

二、设计和原理:

触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。D触发器在CLK 有效电平期间将D的状态输出。用CMOS 做器件是集成电路的发展方向。本次实验设计是用MOS 器件设计一个D触发器。通过D触发器的功能设计电路图,再转换为MOS 器件的电路。

设计主要是根据D触发器的特性来设计的。根据它的特性表画原理图

D触发器的功能表如下;

当CLK = 1 时触发器的Q*=D;当CLK = 0,触发器将保持不变,即Q*=Q。

D触发器的原理图:

和主要参数:

三、设计仿真:

1、瞬态仿真

①放参数设置

②仿真结果

2、功耗仿真

①电流波形

②平均电流值

③功耗 P=U*I=1.8V*1.2094E-4=0.217692mW. 3.最高截止频率仿真

Fmax=1/340p=2.94E8hz

通过仿真验证,电路设计符合逻辑关系,完全正确的四、版图设计:

五、实验总结;

通过本次设计训练,使我对集成设计的基本流程有了进一步的了解,操作、动手能力方面也得到了很大的提高,熟悉并掌握了cadence的基本操作;但是在布线的时候出了问题,没能做出后面的工序,很是遗憾啊!希望在以后的学习中我能做到更好!

由于MOS 器件内部有电容存在,所以信号的传输会有延时,而且各个器件的延时会进行叠加,这对输出的特性有很大的影响,有时会造成致命的错误,这对器件的使用范围会有制约,这是所不允许的。通过电路原理图的调整可以解决一定的问题。这个问题没有办法完全的解决。这是因为器件的制造工艺的约束。MOS 管的宽长比对MOS 电路的性能起着非常重要的作用。因此在每个管子的选择都需要慎重。对于怎样选择各个管子的参数目前还不知道有什么有效的简便的方法。只是根据仿真的结果慢慢修改。这样的速度很慢,而且要有耐心。

RS触发器及其应用

RS 触发器及其应用 触发器(flip flop)是构成时序逻辑电路的基本单元,能记忆、存储一位二进制信息,触发器也称双稳态触发器,它有两种稳定输出工作状态,即分别输出1和输出0的状态。在无输入信号作用时,这种状态是稳定的;而当输入信号到来并满足一定逻辑关系时,输出端的状态将迅速变化,能从一种稳定状态转换到另一种稳定状态。 测试如下电路,调整S1开关状态,观察LED1和LED2的变化,并建立真值表。 图8.1测试电路(multisim) 【信息单】 一、基本RS 触发器 1.“与非”门构成的基本触发器 基本的RS 触发器又称为置0置1触发器。它是各种触发器中结构最简单的一种,通常作为构成各种功能触发器的最基本单元,所以也称为基本触发器。 ⑴电路结构 基本的RS 触发器由两个与非门的输入端与输出端交叉连接而成。电路结构如图8.3(a )所示,逻辑符号如图8.3(b )所示。图中Q 、Q 是基本RS 触发器两个输出端;S 、R 是两个输入端,S 、R 上的“非”号或R 、S 上的小圆圈都表示输入信号只在低电平时有效。 Q 端状态通常定义为触发器的输出状态。当0=Q 、Q =1,称触发器为0状态,当1=Q 、 Q =0,称触发器为1状态。Q 、Q 状态相反。 Q G 1G 2 Q S R Q Q

(a )电路结构 (b )逻辑符号 图8.3 与非门构成的基本RS 触发器 ⑵逻辑功能 S =1、R =0时,Q =1,反馈到G 1门使0=Q ,即不论触发器原态是0态还是1态, 电路的输出一定为0态,R 为置0端。 S =0、R =1时,Q =1,反馈到G 2门使Q =0,即不论触发器原态是0态还是1态,电 路的输出一定为1态,S 为置1端。 S =1、R =1时,设电路原来状态为0=Q 、Q =1,在S =1、R =1作用下,电路的输 出仍是0=Q 、Q =1与原态相同,即触发器的状态保持不变。 S =0、R =0时,Q =1、Q =1,破坏了输出信号互补的原则,而随后S =1、R =1时, 输出状态可能是1也可能是0,出现了不定状态,这意味着当输入条件同时消失后,触发器状态不定,这在触发器工作时是不允许出现的,也就是要禁止S 、R 同时为0的输入状态出现。 (3)逻辑功能描述 触发器的逻辑功能可用功能表、特征方程、时序图、状态图等方法描述。 ①功能表(特性表) 与非门构成的基本RS 触发器的功能表如表8.1所示。 表8.1 与非门构成的基本RS 触发器的功能表 ②波形图 设初始状态Q 为0,然后根据给定的输入信号波形,画出相应输出端Q 、Q 的波形,称为波形图。

使用D触发器设计一个11001序列检测器介绍

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。 【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。 1.原件介绍 D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚

2.设计思路 根据要求,设计的序列检测器有一个外部输入x和一个外部输出Z。输入和输出的逻辑关系为:当外部输入x第一个为"1",外部输出Z为"0";当外部输入x 第二个为"1",外部输出Z为"0";当外部输入第三个x为"0",外部输出Z为"0",当外部输入第四个x为“0”,外部输出Z为0,当外部输入第五个x为“1”,外部输出Z为“1”。假定有一个外部输入x序列以及外部输出Z为: 输入X 0 1 1 1 0 0 1 0 1 输出Y 0 0 0 0 0 0 1 0 0 要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x的值。假设电路的初始状态为A,x 输入第一个"1",检测器状态由A装换到B,用状态B记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x输入第二个"1",检测器状态由B装换到C,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x输入第三个"0",检测器状态由C装换到D,外部输出Z=0;x输入第四个为“0”,检测器状态由D装换到E,外部输出Z=0;x输入第五个为“1”,检测器状态由E装换到F,外部输出Z=1。然后再根据外部输入及其他情况时的状态转移,写出相应的输出。以上分析了序列检测器工作,由此可画出原始状态图。根据原始状态图可列出原始状态表。 状态转换表 A B D C E F 1\0 1\0 0\0 0\0 1\1 0\0 0\0 1\0 1\0 0\0 0\0

D触发器原理-D触发器电路图

边沿D 触发器: 负跳沿触发的主从触发器工作时,在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。电路结构: 该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。 D触发器工作原理: SD 和RD 接至基本RS 触发器的输入端,分别是预置和清零端,低电平有效。当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。工作过程如下: 1.CP=0时,与非门G3和G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6=Q5=D。 2.当CP由0变1时触发器翻转。这时G3和G4打开,它们的输入Q3和Q4的状态由G5和G6的输出状态决定。Q3=Q5=D,Q4=Q6=D。由基本RS触发器的逻辑功能可知,Q=D。 3.触发器翻转后,在CP=1时输入信号被封锁。这是因为G3和G4打开后,它们的输出Q3和Q4的状态是互补的,即必定有一个是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,将G3和G6封锁,D端通往基本RS触发器的路径也被封锁。Q4输出端至G6反馈线起到使触发器维持在1状态的作用,称作置1维持线;Q4输出至G3输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器常称为维持-阻塞触发器。总之,该触发器是在CP正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁,三步都是在正跳沿后完成,所以有边沿触发器之称。与主从触发器相比,同工艺的边沿触发器有更强的抗干扰能力和更高的工作速度。功能描述

集成触发器及其应用电路设计

华中科技大学 电子线路设计、测试与实验》实验报告 实验名称:集成运算放大器的基本应用 院(系):自动化学院 地点:南一楼东306 实验成绩: 指导教师:汪小燕 2014 年6 月7 日

、实验目的 1)了解触发器的逻辑功能及相互转换的方法。 2)掌握集成JK 触发器逻辑功能的测试方法。 3)学习用JK 触发器构成简单时序逻辑电路的方法。 4)熟悉用双踪示波器测量多个波形的方法。 (5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术 、实验元器件及条件 双JK 触发器CC4027 2 片; 四2 输入与非门CC4011 2 片; 三3 输入与非门CC4023 1 片; 计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆 三、预习要求 (1)复习触发器的基本类型及其逻辑功能。 (2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。 (3)按硬件电路实验内容(4)(5),分别设计同步3 分频电路和同步模4 可逆计数器电路。 四、硬件电路实验内容 (1)验证JK触发器的逻辑功能。 (2)将JK触发器转换成T触发器和D触发器,并验证其功能。 (3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起, 接到第一个JK触发器的输出端Q两个JK触发器的时钟端CP接在一起,并输入1kHz 正方波,用示波器分别观察和记录CP Q、Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。 (4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP Q、Q的波形。 (5)根据给定器件,设计一个可逆的同步模4 计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;Q、 Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输入、输出

电工资格证考试触发器及其应用练习题集锦附参考答案解析【精品】

触发器及其应用 习题参考答案 一、填空题: 1.时序逻辑电路的特点是:输出不仅取决于当时 输入 的状态 还与电路 原来 的状态有关。 2.欲使JK 触发器实现的功能,则输入端J 应接 “1” , K 应接 “1” 。 3.组合逻辑电路的基本单元是 门电路 ,时序逻辑电路的基本 单元是 触发器 。 4.两个与非门构成的基本RS 触发器的功能有 置0 、 置1 和 保持 。电路中不允许两个输入端同时为 0 ,否则将出现逻辑混乱。 5.钟控RS 触发器具有“空翻”现象,且属于 电平 触发方式 的触发器;为抑制“空翻”,人们研制出了 边沿 触发方式的JK 触发器和D 触发器。 6.JK 触发器具有 保持 、 翻转 、 置0 和 置1 的功能。 7.D 触发器具有 置0 和 置1 的功能。 二、选择题: 1.描述时序逻辑电路功能的两个重要方程式是( B )。 A 、 状态方程和输出方程 B 、状态方程和驱动方程 C 、 驱动方程和特性方程 D 、驱动方程和输出方程 2.由与非门组成的RS 触发器不允许输入的变量组合为 ( D )。 A 、00 B 、 01 C 、 10 D 、 11 3. 双稳态触发器的类型有( D ) A 、基本RS 触发器; B 、同步RS 触发器; C 、主从式触发 器; D 、前三种都有。 4. 存在空翻问题的触发器是( B ) A 、D 触发器; B 、同步RS 触发器; C 、主从JK 触发 器。 三、简述题 1、时序逻辑电路和组合逻辑电路的区别有哪些? 答:主要区别有两点:时序逻辑电路的基本单元是触发器,组 合逻辑电路的基本单元是门电路;时序逻辑电路的输出只与现时输入有关,不具有记忆性,组合逻辑电路的输出不仅和现时输入有关,还和现时状态有关,即具有记忆性。 2、何谓“空翻”现象?抑制“空翻”可采取什么措施? n n Q Q =+1R S ?

利用D触发器构成计数器

利用D触发器构成计数 器 TYYGROUP system office room 【TYYUA16H-TYY-TYYYUA8Q8-

数字电路实验设计: D触发器组成的4位异步二进制加法计数器一、选用芯片74LS74,管脚图如下: 说明:74LS74是上升沿触发的双D触发器, D触发器的特性方程为 二、设计方案: 用触发器组成计数器。触发器具有0 和1两种状态,因此用一个触发器就可以表示一位二进制数。如果把n个触发器串起来,就可以表示n位二进制数。对于十进制计数器,它的10 个数码要求有 10 个状态,要用4位二进制数来构成。下图是由D触发器组成的4位异步二进制加法计数器。 三、实验台: 四、布线: 1、将芯片(1)的引脚4、10连到一起, 2、将芯片(2)的引脚4、10连到一起, 3、将芯片(1)的引脚10和芯片(2)的引脚10连到一起, 4、将芯片(1)的引脚10连到+5V; 5、将芯片(1)的引脚1、13连到一起, 6、将芯片(2)的引脚1、13连到一起, 7、将芯片(1)的引脚13和芯片(2)的引脚13连到一起, 8、将芯片(1)的引脚13连到+5V; 9、将芯片(1)的引脚3接到时钟信号CP 10、将芯片(1)的引脚2、6接到一起,再将引脚2接到引脚11 11、将芯片(1)的引脚8、12接到一起,再将芯片(1)的引脚8接到芯片(2)的引脚3 12、将芯片(2)的引脚2、6接到一起,再将引脚6接到引脚11 13、将芯片(1)的引脚5、9分别接到Q 0、Q 1 ,再将芯片(2)的引脚5、9分 别接到Q 2、Q 3 14、分别将两芯片的14脚接电源+5V,分别将两芯片的7脚接地0V。

D触发器的设计

目录 第一章绪论0 简介0 集成电路0 版图设计1 软件介绍1 标准单元版图设计1 标准单元版图设计的概念1 标准单元版图设计的历史1 标准单元的版图设计的优点2 标准单元的版图设计的特点2 第二章D触发器的介绍 2 简介2 维持阻塞式边沿D触发器3 电路工作过程3 状态转换图和时序图3 同步D触发器3 电路结构3 逻辑功能4 真单相时钟(TSPC)动态D触发器4 第三章工艺基于TSPC原理的D触发器设计5 电路图的设计5 创建库与视图5 基于TSPC原理的D触发器电路原理图5 创建D触发器版图6 设计步骤6 器件规格7 设计规则的验证及结果8 第四章课程设计总结9 参考文献 9 第一章绪论 简介 集成电路 集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采

用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 版图设计 版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。(2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。(3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。软件介绍 目前大部分IC 公司采用的是UNIX 系统,使用版本是SunSolaris。版图设计软件通常为Cadence ,它是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB 设计。软件操作界面人性化,使用方便,安全可靠,但价格较昂贵。 标准单元版图设计 标准单元版图设计的概念 标准单元,也叫宏单元。它先将电路设计中可能会遇到的所有基本逻辑单元的版图, 按照最佳设计的一定的外形尺寸要求, 精心绘制好并存入单元库中。实际设计ASIC电路时, 只需从单元库中调出所要的元件版图, 再按照一定的拼接规则拼接, 留出规则而宽度可调的布线通道, 即可顺利地完成整个版图的设计工作了。 基本逻辑单元的逻辑功能不同, 其版图面积也不可能是一样大小的。但这些单元版图的设计必须满足一个约束条件, 这就是在某一个方向上它们的尺寸必须是完全一致的, 比如说它们可以宽窄不一, 但它们的高度却必须是完全相等的,这就是所谓的“等高不等宽”原则。这一原则是标准单元设计法得以实施的根本保证。 标准单元版图设计的历史 随着集成电路产业迅猛的发展,工艺水平不断提高,集成电路特征尺寸循着摩尔定律不断缩小。设计芯片时需要考虑的因素越来越多,芯片设计的复杂程度也越来越高。因而尽可能复用一些已经通过工艺验证的IP核可以提高设计的效率,降低芯片设计的成本。

利用D触发器构成计数器

数字电路实验设计: D触发器组成的4位异步二进制加法计数器一、选用芯片74LS74,管脚图如下: 说明:74LS74是上升沿触发的双D触发器,D触发器的特性方程为 "'' -——、设计方案: 用触发器组成计数器。触发器具有0和1两种状态,因此用一个触发器就可以表示一位二进制数。如果把n个触发器串起来,就可以表示n位二进制数。对于十进制计数器,它的10个数码要求有10个状态,要用4位二进制数来构成。下图是由D触发器组成的4位异步二进制加法计数器。 三、实验台: 四、布线: 1、将芯片(1)的引脚4、10连到一起, 2、将芯片(2)的引脚4、10连到一起, 3、将芯片(1)的引脚10和芯片(2)的引脚10连到一起, 4、将芯片(1)的引脚10连到+5V; 5、将芯片(1)的引脚1、13连到一起, 6、将芯片(2)的引脚1、13 连到一起, 7、将芯片(1)的引脚13 和芯片(2)的引脚13 连到一起, i>

8、将芯片(1)的引脚13 连到+5V; 9、将芯片(1)的引脚3 接到时钟信号CP 10、将芯片(1)的引脚2、6 接到一起,再将引脚2 接到引脚11 11、将芯片(1)的引脚8、12 接到一起,再将芯片(1)的引脚8 接到芯片(2)的引脚3 12、将芯片(2)的引脚2、6 接到一起,再将引脚6 接到引脚11 13、将芯片(1)的引脚5、9分别接到Q o、Q i,再将芯片(2)的引脚5、9分别接到 Q2 、Q3 14、分别将两芯片的14脚接电源+5V,分别将两芯片的7脚接地0V。 五、验证: 接通电源on,默认输出原始状态0000 每输入一个CP 信号(单击CP),的状态就会相应的变化,变化规律为0000 (原始状态)、1000、0100 、1100、0010、1010、0110、1110、0001 、1001 、0101 、1101 、 0011 、1011 、0111 、1111

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

D触发器的使用

实验3 D触发器及其应用 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计方法。 二、实验设备 1、数字电路实验箱; 2、数字双踪示波器; 3、函数信号发生器; 4、集成电路:74LS00; 5、集成电路:74LS74; 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 简单介绍分析: (1)74LS74:双D触发器(上升沿触发的边沿D触发器) D触发器在时钟脉冲CP的前沿(正跳变0→1)发生翻转,触发器的次态取决于CP脉冲上升沿到来之前D端的状态,即Q n+1=D。因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。/R D和/S D分别是决定触发器初始状态的置0、置1端。当不需要强迫置0、置1时,/R D和/S D端都应置高电平。74LS74(CC4013),74LS175(CC4042)等均为上升沿触发的边沿触发器。 (2)74LS74引脚图: (图3-1) (3)二分频器的连接线路原理图:

图(3-2) 实验步骤如下: a.按照上面的连线原理图(3-2)在实验板上连好线; b.打开电源开关; c.在CP端加入1kHz的连续方波,用示波器观察CP,1Q,2Q各点的波形。(4)四分频器的连接线路原理图: 图(3-3) 实验步骤如下: a.按照上面的连线原理图(3-3)在实验板上连好线; b.打开电源开关; c.在CP端加入1kHz的连续方波,用示波器观察CP,1Q,2Q各点的波形。 2、实现如图所示时序脉冲(74LS74和74LS00各1片) 图(3-4) 简单介绍分析:

触发器及其应用

实验二触发器及其应用 一、实验目的 1.熟悉触发器的构成及工作原理; 2.掌握触发器的逻辑功能测试方法; 3.掌握触发器之间相互转换方法及实际应用。 二、实验原理 触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。 1.基本RS触发器 图1为由二个与非门交叉藕合构成的基本RS触发器。基本RS触发器具有置"0"、置"1"和"保持"三种功能。通常称为置"1"端,因为 =0时触发器被置"1";为置"0"端,因为 =0时触发器被置"0",当 = =1时状态保持。基本RS触发器也可以用二个"或非门"组成,此时为高电平触发器。 图1基本RS触发器 2.D触发器 D触发器的状态方程为:Qn+1=D。其状态的更新发生在CP脉冲的边沿,74LS74等均为上升沿触发,故又称之为上升沿触发器的边沿触发器,触发器的状态只取决于时针到来前D端的状态。如下: 图2 双D触发器图3 D触发器逻辑符号

三、实验仪器与器件 数字电路实验箱示波器信号发生器 74LS00 74LS74 四、实验内容及步骤 1、两个TTL与非门相接构成基本RSFF,按下表的顺序在输入端加信号,观察并记录FF的Q 端的状态,将结果填入表中,并说明在各种输入状态下FF的功能。 2、用D触发器构成一个二分频器,并用示波器记录输入输出波形,参考电路如下图所示。 3、用EWB软件仿真一个由触发器构成的二倍频器,参考电路如下图所示。 五、实验结果 (要求记录实验结果,并与理论值对比分析)

D触发器工作原理

D触发器工作原理 D触发器工作原理 主从JK触发器是在CP脉冲高电平期间接收信号,如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器产生与逻辑功能表不符合的错误状态。边沿触发器的电路结构可使触发器在CP脉冲有效触发沿到来前一瞬间接收信号,在有效触发沿到来后产生状态转换,这种电路结构的触发器大大提高了抗干扰能力和电路工作的可靠性。下面以维持阻塞D触发器为例介绍边沿触发器的工作原理。 维持阻塞式边沿D触发器的逻辑图和逻辑符号如图9-7所示。该触发器由六个与非门组成,其中G1、G2构成基本RS触发器,G3、G4组成时钟控制电路,G5、G6组成数据输入电 路。和分别是直接置0和直接置1端,有效电平为低电平。分析工作原理时,设和 均为高电平,不影响电路的工作。电路工作过程如下。 (a) 逻辑图 (b) 逻辑符号 图9-7 维持阻塞型D触发器 ①CP=0时,与非门G3和G4封锁,其输出为1,触发器的状态不变。同时,由于至G5和至G6的反馈信号将这两个门G5、G6打开,因此可接收输入信号,使=,= =。 ②当CP由0变1时,门G3和G4打开,它们的输出和的状态由G5和G6的输出状态决定。==,==。由基本RS触发器的逻辑功能可知,=。

③触发器翻转后,在CP=1时输入信号被封锁。G3和G4打开后,它们的输出和的状态是互补的,即必定有一个是0,若为0,则经G4输出至G6输入的反馈线将G6封锁,即封锁了D通往基本RS触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。G3为0时,将G4和G5封锁,D端通往基本RS触发器的路径也被封锁;G3输出端至G5反馈线起到使触发器维持在1状态的作用,称作置1维持线;G3输出端至G4输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器称为维持阻塞触发器。 由上述分析可知,维持阻塞D触发器在CP脉冲的上升沿产生状态变化,触发器的次态取决于CP脉冲上升沿前D端的信号,而在上升沿后,输入D端的信号变化对触发器的输出状态没有影响。如在CP脉冲的上升沿到来前=0,则在CP脉冲的上升沿到来后,触发器置0;如在CP脉冲的上升沿到来前=1,则在CP脉冲的上升沿到来后触发器置1。维持阻塞触发器的逻辑功能表如表9-4所示。 表9-4 触发器的逻辑功能表 说明 0 0 复位 1 1 置位 依据逻辑功能表可得触发器的状态方程为 (9-2) 【例9-4】已知上升沿触发的D触发器输入和时钟CP的波形如图9-8所示,试画出端波形。设触发器初态为0。 图9-8 维持阻塞触发器的波形图

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

D触发器设计

要求:采用0.25um CMOS工艺(SPICE模型,BSIM3.1)设计一个基于传输门结构的D触发器,要求该D触发器带有异步高电平置位。 1)用HSPICE仿真验证该D触发器功能的正确性。 2)利用HSPICE仿真得出该D触发器的建立时间、保持时间和传输延时的值,假设D触发器的负载是该D触发器的数据输入端。 3)请优化该D触发器的晶体管尺寸,使建立时间最小。 4)优化尺寸使传输延时最小。 仿真过程中,输入信号和clock的跳变沿(上升沿时间和下降沿时间)统一为0.2ns。如下面的clock的例子: vclk clock 0 pulse (0 2.5 0 0.2n 0.2n 4.8n 10n) 建立时间定义含混,难以精确确定。一般减小寄存器数据到clock的时间不会使输出立刻出错,但它会使输出延时增大,所以一般建立时间的定义有两种方法: (b) 1、定义成时钟之前数据输入必须有效的时间。(但有效的含义是什么,难以精确确定) 2、定义成使D-Clk时间差与tc-q延时的和最小时寄存器的工作点。这一点使触发器的延时总开销最小。即图(b)中斜率45度的点。X轴和Y轴等比例! 在全定制设计中这个值可以比较接近出错点,但在半定制标准单元设计中,寄存器的建立时间和保持时间定义成相对tcq增大一个固定百分比时(一般为5%),数据-时钟的时间差。注意,这些曲线在0-1和1-0翻转时不同,因此0和1值得建立时间和保持时间是不一样的,另外建立时间和保持时间还和时钟与数据的斜率有关,在非线性模型中用一个二维表格表示。 在本练习中,采用第二种建立时间定义方法。假定都采用使输出延时比原来增大5%的时间点作为建立时间。分别测量输出“1”和输出“0”的不同的建立时间。保持设计的定义与此相似,,同样用输出延时增加5%作为定标点。

D触发器及其应用

实验八 D 触发器及其应用 一、实验目的 1.熟悉基本D 触发器的功能测试; 2.了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点; 3.熟悉触发器的实际应用; 4.了解并掌握 Multisim 仿真软件的使用。 二、实验设备 数字实验电路箱,74LS74,导线若干,Multisim 数电仿真软件。 74LS74引脚图 74LS74逻辑图 三、实验原理 D 触发器在时钟脉冲CP 的前沿(正跳变0到1)发生翻转,触发器的次态 U1A 74LS74D 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4

n Q 取决于脉冲上升沿到来之前D 端的状态,即 Q =D 。因此, 它具有置0、置1两种功能。由于CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D 端的数据状态变化,不会影响触发器的输出状态。 R 和 S 分别是决定触发器初始状态 Q 的直接置0、置1端。当 不需要强迫置0、置1时, R 和 S 端都应置高电平(如接+5V 电源)。 74LS74、74LS175等均为上升沿触发的边沿触发器。触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生器等。 四、实验内容 1.测试D 触发器的逻辑功能; 2.构成异步分频器,构成2分频和4分频; 3.构成同步分频器,构成2分频和4分频。 五、实验设计及实验仿真 1.测试D 触发器的逻辑功能: (1)将74LS74的D S D R 端分别加低电平,观察并记录Q 端的状态; (2)令D S D R 端为高电平,D 端分别接高、低电平,用单脉冲做CP ,观察记录当CP 为0,上升,1,下降时Q 段状态的变化; (3)当D S D R 为高电平,CP=0(或CP=1),改变D 端状态,观察Q 端的状态是否变化; (4)得到74LS74D 触发器的功能测试表:

D触发器的设计

目录 第一章绪论 (1) 1.1 简介 (1) 1.1.1 集成电路 (1) 1.1.2 版图设计 (1) 1.2 软件介绍 (2) 1.3 标准单元版图设计 (2) 1.3.1 标准单元版图设计的概念 (2) 1.3.2 标准单元版图设计的历史 (2) 1.3.3 标准单元的版图设计的优点 (3) 1.3.4 标准单元的版图设计的特点 (3) 第二章 D触发器的介绍 (4) 2.1 简介 (4) 2.2 维持阻塞式边沿D触发器 (4) 2.2.1 电路工作过程 (4) 2.2.2 状态转换图和时序图 (5) 2.3 同步D触发器 (5) 2.3.1 电路结构 (5) 2.3.2 逻辑功能 (6) 2.4 真单相时钟(TSPC)动态D触发器 (6) 第三章 0.35um工艺基于TSPC原理的D触发器设计 (8) 3.1 电路图的设计 (8) 3.1.1 创建库与视图 (8) 3.1.2 基于TSPC原理的D触发器电路原理图 (8) 3.2 创建 D触发器版图 (9) 3.2.1 设计步骤 (9) 3.2.2 器件规格 (11) 3.3 设计规则的验证及结果 (11) 第四章课程设计总结 (13) 参考文献 (14)

第一章绪论 1.1 简介 1.1.1 集成电路 集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 1.1.2 版图设计 版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。(2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。(3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。

ADS设计D触发器

Sheet 1 of 7
D-Type flip-flop (Toggle switch) The D-type flip-flops are used in prescalar/divider circuits and frequency phase detectors. Figure 1 shows how the flip-flop (latch) can be made using 2-input logic circuits and Figure 2 shows the input and output waveforms The enable pin needs to be high for data to be fed to the outputs Q and Q bar. The output will only change on the falling edge or trailing edge of the applied clk input.
D
NAND
NAND
Q
Enable
NAND
Q
NAND
NOT
Latch
Figure 1 Simple D-type Flip-flop circuit The D type flip-flop has only one input (D for Data) apart from the clock. The INDETERMINATE state is avoided with this flip-flop. When the clock goes high, D (a 0 or a 1) is transferred to Q. When the clock goes low, Q remains unchanged. Q stores the data until the clock goes high again, when new data may be available.
Figure 2 Output waveforms of the D-type flip-flop. In this circuit the Q output changes state on the leading edge of the clock.

D触发器及其应用说课讲解

D触发器及其应用

实验八D触发器及其应用 一、实验目的 1.熟悉基本D触发器的功能测试; 2.了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点; 3.熟悉触发器的实际应用; 4.了解并掌握Multisim仿真软件的使用。 二、实验设备 数字实验电路箱,74LS74,导线若干,Multisim数电仿真软件。 74LS74引脚图 74LS74逻辑图 三、实验原理 U1A 74LS74D 1D 21Q5 ~1Q6 ~1CLR 1 1CLK 3 ~1PR 4

D 触发器在时钟脉冲CP 的前沿(正跳变0到1)发生翻转,触发器的次态 n Q +取决于脉冲上升沿到来之前D 端的状态,即 n Q + =D 。因此,它具有置0、置1两种功能。由于CP=1期间电路具 有维持阻塞作用,所以在CP=1期间,D 端的数据状态变化,不会影响触发器的输出状态。 R 和 S 分别是决定触发器初始状态 Q 的直接 置0、置1端。当不需要强迫置0、置1时, R 和 S 端都应置高电 平(如接+5V 电源)。74LS74、74LS175等均为上升沿触发的边沿触发器。触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生器等。 四、实验内容 1.测试D 触发器的逻辑功能; 2.构成异步分频器,构成2分频和4分频; 3.构成同步分频器,构成2分频和4分频。 五、实验设计及实验仿真 1.测试D 触发器的逻辑功能: (1)将74LS74的D S D R 端分别加低电平,观察并记录Q 端的状态; (2)令D S D R 端为高电平,D 端分别接高、低电平,用单脉冲做CP ,观察记录当CP 为0,上升,1,下降时Q 段状态的变化; (3)当D S D R 为高电平,CP=0(或CP=1),改变D 端状态,观察Q 端的状态是否变化; (4)得到74LS74D 触发器的功能测试表:

D触发器的设计和仿真讲解

实验一、D触发器的设计和仿真 一、实验目的 1、学习模拟数字电路单元的基本设计方法。 2、学习Cadence工具下电路设计的基本操作和方法。 3、学习Sprectre工具的仿真操作方法。 二、实验内容 本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D 触发器电路的设计和仿真;分析仿真结果。该电路设计采用上华CSMC0.5umCMOS 工艺设计,工作电压5V。 三、实验步骤 1、登陆到UNIX系统。 在登陆界面,输入用户名和密码,用户名和密码都为学生学号。 2、Cadence的启动。 启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。 3、原理图的输入。 (1)Composer的启动。在CIW窗口新建一个单元的Schematic视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。 (3)添加连线。执行Add-Wire,将需要连接的部分用线连接起来。 (4)添加管脚。执行Add-Pin和直接点p,弹出添加管脚界面。 (5)添加线名。为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。

触发器及其应用

实验四触发器及其应用 一:实验目的 1.掌握基本RS。JK。D和T触发器的逻辑功能 2.掌握集成触发器的逻辑功能及使用方法 3.熟悉触发器之间互相转化的方法 二:实验原理: 触发器具有两个稳定状态。用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元 1.基本RS触发器 图8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”。置“1”和保持三种功能。通常称为置“1”端,因为=0(=1)时触发器被置“1”;为置“0”端,因为=1(=0)时触发器被置“0”,但==1时状态保持;==0时,触发器状态不稳定,应避免此种情况发生,表9-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表8-1: 图8-1 基本RS触发器 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 2.JK触发器 在输入信号为双端的情况下,JK触发器是功能完善.使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿除法的边沿触发器。引脚功能和逻辑符号如图8-2所示。 JK触发器的状态方程为 J和K是数据输入端是触发器状态更新的依据,若J。K有两个或两个以上输入端时,组成“与”的关系。Q和为两个互补输出端。通常把Q=0,=1的状态顶为触发器“0”状态;而把Q=1,=0定为“1”状态。

16 15 14 13 12 11 10 9 图8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能表如8-2所示表8-2 输入输出 CP J K 0 1 ××× 1 0 1 0 ×××0 1 0 0 ××× 1 1 ↓0 0 1 1 ↓ 1 0 1 0 1 1 ↓0 1 0 1 1 1 ↓ 1 1 1 1 ↑×× 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 ()—现态()—次态¢—不定态 JK触发器常被用作缓冲存储器,位移寄存器和计数器 3.D触发器 在输入信号为单端的情况下,D触发器用来最为方便,其状态方程为=,其输出 状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只 取决于时钟到来前D端的状态,D触发器的应用很广,可用作数信号的寄存,位移寄存,分 频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D74LS74。四D74LS175, 六D74LS174等 图8-3为双D74LS74的引脚排列及逻辑符号。功能表如表8-3。 图8-3 74LS74引脚排列及逻辑符合

相关文档
最新文档