数字电子技术课程设计(汽车尾灯控制电路)

合集下载

数电课程设计汽车尾灯控制电路

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计一、设计基本要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1.汽车整车运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁二、设计方案:1.汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。

2.在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

方案原理框图如下图所示开关控制电路显示、驱动电路译码电路计数器{尾灯电路汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

三、电路设计步骤:1.时钟脉冲电路由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。

时钟脉冲电路如下图1所示:1.时钟脉冲电路555定时器引脚图2. 三进制计数器汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)三进制计数器功能表此计数器由74LS163芯片主要构成。

下面分别是它的真值表和引脚图:74LS163真值表74LS163引脚图三进制计数器电路图如下图2所示:2.三进制计数器电路图3、开关控制电路开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常行驶、左转弯、右转弯和刹车四种状态。

电子技术课程设计---汽车尾灯设计

电子技术课程设计---汽车尾灯设计

数字电子技术课程设计题目:汽车尾灯控制班级:姓名:学号:指导教师:完成时间:汽车尾灯控制电路一.设计任务设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(R1-R3)3个发光二极管。

用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。

当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭)显示。

同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反(R1→R1R2→R1R2R3→全灭→R1)。

图1 左转弯显示规律图二、设计条件本设计基于数字电子技术基础实验,通过自行设计电路图并在电脑上利用Multisim软件进行仿真。

三、设计要求及原理本课程设计分为实际设计与虚拟仿真两个环节。

根据以上要求,要实现当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭→L1L2L3)显示,如图1。

同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反。

当KR和KL同时按下时,L1L2L3和R1R2R3均按时钟闪烁。

可先用74138对开关信号译码来产生高低电平,再用74163来计数,最后把产生的数用逻辑器件来实现上述要求。

根据不同的状态,绘制汽车尾灯和汽车运行状态表如下;图2 汽车尾灯控制电路设计总体框图四、设计内容(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明: 在本次实验中,汽车尾灯的闪烁快慢要由计数器74163产生的数的快慢来决定,即与时钟CLK 的频率有关。

在本次设计中,我想利用555定时器产生0.5kHZ 的方波。

②.设计计算公式:高电平时间: 221ln )(C R R t ph += 低电平时间: C R t pl 2=2ln ③.设计最后图形如图(3):图(3)④.仿真波形以及连接图形如图(4):如图(3)所示为在Multisim里仿真时的实际连接电路。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。

(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。

(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。

(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。

(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。

(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。

4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。

二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。

2、介绍实验要求,以及实验前的电路准备。

3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。

4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。

5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。

6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。

7、完成实验后,进行实验结果分析,并对实验总结进行评价。

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。

二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。

2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。

3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。

(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。

(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。

(4)临时刹车时,所有指示灯同时闪烁。

三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。

1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。

(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。

2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。

3-8译码器是低电平有效,从而控制尾灯按要求点亮。

3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。

2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。

选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。

3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。

将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。

发光二极管将让的的点亮和熄灭。

这部分电路需要确保足够的电流能够流过LED,以使其正常发光。

数电大作业(汽车尾灯控制电路)

数电大作业(汽车尾灯控制电路)

图 3 计数译码电路
2.3 脉冲信号产生电路
用 555 构成多谐振荡器,为电路提供脉冲信号。其中频率为:
f 0 .7 ( R1 2 R 2 )C
调节 R1、R2 的大小可改变信号频率。
2012 西电大作业
图4
脉冲信号产生电路
2.4 显示电路
显示电路由译码器和 A 双向驱动,低电平有效,若 A=0,则灯亮;若 A=1, 则受译码信号控制,以实现电路功能。
二、设计要求
尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。当接通左转、 右转、刹车、倒车检查等开关时指示灯按照指定要求闪烁。具体如下表格: 表一 汽车尾灯显示状态变化表 开关控制 S0 S1 S2 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 1 1 汽车行驶状态 正常行驶 右转 左转 刹车 倒车 夜间行驶 左尾灯状态 L1 L2 L3 熄灭 熄灭 依次亮 闪烁 闪烁 全亮 右尾灯状态 R1 R2 R3 熄灭 依次亮 熄灭 闪烁 不规则闪烁 全亮
2012 西电大作业 汽车尾灯控制电路
一、 设计目的
1、了解汽车尾灯控制电路的组成及工作原理。 2、由三个开关控制实现汽车正常行驶、右转弯、左转弯、刹车、倒车以 及夜间行驶时尾灯的变化情况。 3、正确使用 multisim 软件对电路进行仿真及观察。 4、通过此次设计实验加深对 3—8 译码器、 计数器等集成逻辑芯片的理解和运 用。
2.电路工作原理
2.1 开关控制电路
根据公式(1) 、(2),可连出如下电路图,其中 G、A 为使能输出端,开关 K0、 K1、K2 控制电路的运行。
2012 西电大作业
图 2 开关控制电路
2.2 计数及译码电路
本电路采用 74LS161 构成模三计数器,74LS138 实现译码功能。当 74LS161 正常工作时 QA、QB 实现 00、01、10 的循环。若 S1 开关关闭,则译码器对 000、 001、 010 循环进行译码, 可实现右尾灯依次亮; 若 S1 开关合上, 则译码器对 100、 101、110 循环进行译码,可实现左尾灯依次亮。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。

二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。

三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。

汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。

四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。

五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。

本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。

数电汽车尾灯控制电路课程设计精选全文

数电汽车尾灯控制电路课程设计精选全文

可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。

(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。

三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。

要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。

针对以上三项要求,我们设计了相应的模块。

用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。

四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。

针对以上三项要求,我们设计了相应的模块。

用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。

二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。

表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。

可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。

当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。

RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。

在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。

本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。

一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。

数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。

在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。

所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。

二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。

这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。

工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。

2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。

NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。

通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。

3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。

按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。

4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。

在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。

三、实验结果通过将设计好的电路拼装后,进行了实验测试。

实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。

该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。

汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。

控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。

变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。

电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。

尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。

首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。

其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。

最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。

总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。

其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。

二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。

由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。

本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。

三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。

四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。

汽车尾灯控制电路电子技术课程设计

汽车尾灯控制电路电子技术课程设计

电子技术课程设计——汽车尾灯控制电路 学 院:电子信息工程学院班 级:自动化051501 姓 名: 学 号:0119 指导教师:一:设计任务与要求设计内容:设计一个汽车尾灯控制电路,使尾灯能随着汽车运动状态的改变 而发生亮灭变化。

要求:1:汽车左转时,左灯按以下顺序变化:亮灭亮 灭亮灭;当 汽车右转时,右尾灯按以下顺序变化:亮灭亮TO 灭亮灭。

2:汽车正常前进时,尾灯全亮。

3:汽车刹车时,尾灯同时按一定频率闪亮。

二:总体框图汽车运行状态电路模块:模拟汽车的运行状态,S1合向上边,S2合向下边 时,汽车右转;S1合向下边,S2合向上边时,汽车左转; SI 、S2同时合向上边时,汽车在刹车状态 ;S1、S2同时合向下边时,汽车正常运行。

分频电路模块:分析来自译码器的信号,判断汽车处于哪种状态,进而将判 断结果输入显示电路。

显示电路:通过74LS194将分频电路分析出的信号通过小灯直观的显示出 来。

三、选择器件 1:74LS138 逻辑符号: 上图为3线-8线译码器74LS138的逻辑符号图, 其有3个附加的控制端G1、 G2A 和G2B 。

当G1=1、G2A+G2B=0时,其附加门GS 才输出高电平(S=1),译码 器处于工作状态。

否则译码被禁止,所有的输出端被封锁在高电平,这三个控制端也叫做“片选”输入端,利用片选的作用可以将多片连接起来以扩展译码左转显示电路 运行状态控制电路右转显示电路 译码电路 分频电路器的功能。

图(9)3线-8线译码器74LS138的逻辑符号图74LS138的内部原理图74LS138的内部结构图3线-8线译码器 74LS138的功能表 输入输出S1 S2+S3 A0 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 X 1 1 11 1 1 1 1 X 1 0 0 00 0 0 0 0X X 0 0 0 0 1 1 1 1X X 0 0 1 1 0 0 1 1X X 0 1 0 1 0 1 0 11 1 0 1 1 1 1 1 1 11 1 1 0 1 1 1 1 1 11 1 1 1 0 1 1 1 1 11 1 1 1 1 0 1 1 1 11 1 1 1 1 1 0 1 1 11 1 1 1 1 1 1 0 1 11 1 1 1 1 1 1 1 0 11 1 1 1 1 1 1 1 1 0由3线-8线译码器74LS138的功能表也可以看出,当S1=0时,无论S2+S3等于0还是1,译码器都处于禁止状态,当S1=1,S2+S3=0时,译码器处于工作状态。

数字电子技术-汽车尾灯控制电路

数字电子技术-汽车尾灯控制电路

汽车尾灯控制电路设计者: XXX汽车尾灯控制电路内容摘要本课题设计一个汽车尾灯的控制电路。

汽车尾部左右两侧各有3个指示灯。

当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。

一、设计内容及要求本课题设计一个汽车尾灯的控制电路。

该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。

当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。

当接通刹车电键时,汽车所有的尾灯同时闪烁。

当接通检查电键时,汽车所有的尾灯点亮。

二、电路的工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。

3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。

左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。

这部分电路起到信号分拣的作用。

分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。

最终得到的信号即可输出到发光二极管上,实现所需功能。

三、系统方案的选择在设计本电路时,一共考虑过三种方案。

这三种方案的不同点在于产生001、010、100三种信号的方法不同。

下面简单的介绍一下这三种方案:第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。

0设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。

则 经过)()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。

电路图如下:但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。

第二种方案:通过74LS194移位寄存器来产生001、010、100的三种状态信号。

汽车尾灯控制电路

汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路班级学号姓名平时成绩答辩成绩报告成绩总分122039114刘洋122039113合兴国122039125薛强一:设计任务设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当汽车停车时,全部灯灭,当汽车左转弯时,左边的灯依次点亮,右边的转向灯全灭,当汽车右转弯时,右边的车灯依次点亮,左边的车灯全灭。

当司机不慎同时接通左右两个转弯开关时,汽车尾灯全部按一秒的频率闪烁。

当司机按下刹车开关时,汽车尾灯全部点亮。

当汽车刹车的同时有向左转弯的动作,汽车左侧尾灯依次点亮,右侧尾灯全部点亮。

同理汽车刹车且向右转弯,右侧车灯依次点亮,左侧车灯全亮。

二:设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、,所停车等动作时。

车灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到相的一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。

汽车尾灯控制电路设计总体框图。

表一汽车尾灯和汽车运行状态表开关控制汽车运行状态右转尾灯左转尾灯A B CR1R2R3L1L2L30 0 0 停车灯灭灯灭1 0 1 左转弯灯灭按L1L2L3顺序循环点亮0 1 0 右转弯按R1R2R3顺序循环点亮灯灭1 1 1 接通两项转弯所有尾灯同时按1HZ频率点亮0 1 1 向右侧刹车右侧灯依次亮,左侧灯全亮10 1 向左侧刹车左侧灯依次亮,右侧灯全亮1 1 0 急刹车全亮三:实验器件名称规格数量译码器SN74LS138N 1计数器DM74LS163AM 2或门DM74ls32M 20非门DM74ls00N 8与门DM74ls08M 9二极管发光二极管 6方波发生器1HZ 1四:设计内容设计说明:实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。

数电课程设计汽车尾灯

数电课程设计汽车尾灯

数电课程设计汽车尾灯在汽车尾灯设计中采纳了一片四位双向移位寄存器74LS194,用六个发光二极管代替汽车尾灯,通过操纵电路操纵发光二极管的灯亮以及灯灭的情形及走一直实现设计要求。

循环图:正常行驶向右转弯:向左转弯:刹车时:总电路图:原理框图:二.单元电路设计(一)循环电路汽车在右转弯时尾灯循环亮灭情形如下:原理说明:汽车右转弯时,在右转弯开关操纵下,汽车尾灯按周期性亮灭,这是用一片74LS194移位寄存器构成的循环移动电路实现的,即Q A Q B Q C按100→110→111→000循环移动,1代表发光二极管点亮,0代表发光二极管熄灭。

具体电路如下:(二)操纵电路操纵电路是由一系列的逻辑门来实现的。

左右转弯的操纵是通过与非门、与门和循环电路的与非门连接来实现的,刹车的操纵是通过脉冲信号、与非门的连接来实现的。

具体电路如下:(三)指示电路用发光二极管来代替汽车尾灯,通过200Ω电阻连接到VCC。

当输入端位高电平常,灯灭;当输入端为低电平常,灯亮。

LED1 LED2 LED3 LED4 LED5 LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5V三.仿真电路及波形(一)循环仿真(二)左右转弯仿真U1A74LS00DU2A74LS00DU3A74LS00DU4A74LS00DU5A74LS00DU6A74LS00DLED1LED2LED3LED4LED5LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5VU774LS194DA3B4C5D6SL7QA15QB14QC13QD12SR2~CLR1S09S110CLK11U8A74LS09DU9A74LS09DU10A74LS00DJ1Key = 1J2Key = 2J3Key = 3U13A74LS00DU14A74LS00DU11A74LS04DU12A74LS04DU15A74LS09DVCC5VR71kΩR81kΩR91kΩVCC5VXFG1XSC1A B C DGTXSC2A B C DGT(三)刹车仿真U1A74LS00DU2A74LS00DU3A74LS00DU4A74LS00DU5A74LS00DU6A74LS00DLED1LED2LED3LED4LED5LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5VU774LS194DA3B4C5D6SL7QA15QB14QC13QD12SR2~CLR1S09S110CLK11U8A74LS09DU9A74LS09DU10A74LS00DJ1Key = 1J2Key = 2J3Key = 3U13A74LS00DU14A74LS00DU11A74LS04DU12A74LS04DU15A74LS09DVCC5VR71kΩR81kΩR91kΩVCC5VXFG1XSC1A B C DGTXSC2A B C DGT四.安装、调试的步骤(一)检测电路板的好坏在接线前先检测的导通情形:在电路板的正负极各接一根导线到电源的正负极,接着从电路板的正负极的横排每个接线孔一次接一根导线到任意一个发光二极管,观看其发光情形,正向导通的二极管在接到正极时应发光,反响导通的二极管在接到负极时应导通,这两种情形说明电路板是好的。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

湖南工程学院
课程设计
课程名称数字电子技术
课题名称汽车尾灯控制电路
专业电气工程及其自动化
班级0884
学号200813010401
姓名简长青
指导教师赵振兴
2010年10月7日
湖南工程学院
课程设计任务书
课程名称:数字电子技术
题目:汽车尾灯控制电路
专业班级:电气0884 班
学生姓名:简长青学号:01
指导老师:赵振兴
审批:
任务书下达日期2010年9月27日设计完成日期2010年10月7日
目录
一总体设计思路(含电路原理框图):
1.1 电路设计原理框图
具体的电路要求及功能表如下:
a)输入变量定义:
脚踏制动器信号名称:U;U为0时无制动,U为1时制动停车信号名称:S;S为0时停车,S为1时汽车工作
左转弯控制信号名称:L;L为1时向左转
右转弯控制信号名称:R;R为1时向右转
b) 控制电路工作表:。

相关文档
最新文档