数字电路 第12章

合集下载

第12章 有限状态机设计-数字电路与逻辑设计-张俊涛-清华大学出版社

第12章 有限状态机设计-数字电路与逻辑设计-张俊涛-清华大学出版社

// 阻塞赋值
S2: ... ;
... : ... ;
default: ...;
endcase
《数字电路与逻辑设计》
// 第三个always,时序逻辑模块,描述输出
always @ (posedge clk or negedge rst_n) ... //初始化 case(current_state) S1: out1 <= ...; // 非阻塞逻辑 S2: out2 <=... ; default: ...; endcase
CLK 控制器时钟
AD转换控制器
时序过程 always
Current_state Next_state
组合过程 always(1) always(2)
LOCK 锁存过程 always
Q[7..0]
转换数据 输出
模拟量输入
ADC0809
A/D转换时钟 (640kHz)
clk
ALE START
OE ADDR
《数字电路与逻辑设计》
12.3 状态机设计示例 ADC0809是八路8位逐次渐近式A/D转换器,其
内部结构框图和工作时序如图9-21和9-22所示。
Vref(+)
Vref(-)
EOC
IN0~IN7
ADDC~A ALE
8路 模拟开关
地址锁存 与
译码
8位 逐次渐近型
A/D 转换器
三态 锁存 缓冲器
START CLK
// 同步时序逻辑过程,状态转换
always @(posedge clk or negedge rst_n) if (!rst_n) curr_state<=st0; else curr_state<=next_state; // 组合逻辑过程,确定次态 always @(curr_state,eoc) case (curr_state) st0: next_state=st1; st1: next_state=st2; st2: if (eoc) next_state=st3; else next_state=st2; st3: next_state=st4; st4: next_state=st0; default: next_state=st0; endcase

数字电路知识点总结(精华版)

数字电路知识点总结(精华版)

数字电路知识点总结(精华版)数字电路知识点总结(精华版)第一章数字逻辑概论一、进位计数制1.十进制与二进制数的转换2.二进制数与十进制数的转换3.二进制数与十六进制数的转换二、基本逻辑门电路第二章逻辑代数逻辑函数的表示方法有:真值表、函数表达式、卡诺图、逻辑图和波形图等。

一、逻辑代数的基本公式和常用公式1.常量与变量的关系A + 0 = A,A × 1 = AA + 1 = 1,A × 0 = 02.与普通代数相运算规律a。

交换律:A + B = B + A,A × B = B × Ab。

结合律:(A + B) + C = A + (B + C),(A × B) × C = A ×(B × C)c。

分配律:A × (B + C) = A × B + A × C,A + B × C = (A + B) × (A + C)3.逻辑函数的特殊规律a。

同一律:A + A = Ab。

摩根定律:A + B = A × B,A × B = A + Bc。

关于否定的性质:A = A'二、逻辑函数的基本规则代入规则在任何一个逻辑等式中,如果将等式两边同时出现某一变量 A 的地方,都用一个函数 L 表示,则等式仍然成立,这个规则称为代入规则。

例如:A × B ⊕ C + A × B ⊕ C,可令 L = B ⊕ C,则上式变成 A × L + A × L = A ⊕ L = A ⊕ B ⊕ C。

三、逻辑函数的化简——公式化简法公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与或表达式。

1.合并项法利用 A + A' = 1 或 A × A' = 0,将二项合并为一项,合并时可消去一个变量。

第12章,数字电路基础知识

第12章,数字电路基础知识

二、二进制与十进制的相互转换 1、(N)2→ (N)10 :将(N)2写成按权展开的多项式, 按十进制规则求各乘积项的积并相加。 例如:
(1101) 2 1 23 1 2 2 0 21 1 20 (13)1 0
实战训练3
将下列二进制数转换为十进制数 (1)(10010)2=()10 (2)(111101)2=()10
t
t
数字电路 模拟电路
处理模拟信号的电路 处理数字信号的电路
数字电路特点 1、数字电路的工作信号是不连续变化的数字信号 2、数字电路的研究对象时电路的输入与输出之间的逻辑关 系,研究工具是逻辑代数,表达电路的主要功能是用真值 标, 逻辑函数表达式,波形图,逻辑图等 数字电路的独特功能 具有逻辑思维能力,能贮存信息、有记忆功能、是电子 计算机发展的基础。
四位二进制数与十进制数之间的的对应关系
二进制数 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111
十进制数 0 1 2 3 4 5 6 7 8 9 10 11 12 12 14 15
二进制数和十进制数的相互转换
A B
&
Y=AB
Y
实战训练1 抄5遍表达式并读出表达式 写出 3个输入、4个输入与 门的表达式
实战训练2 练习画3个两输入与门 的逻辑符号,分别画出 3个输入、4个输入与门 的逻辑符号
输入变量和对应的输出变量随时间变化的波形
波 形 图
画与逻辑波形图要领 1)先在输入变量转弯的地方画虚线(有了虚线,才能对 齐)。注:a.在同一区间内(两条虚线构成一个区间),每 个变量的值不能发生变化。b.虚线不要多划也不要少画(一 般情况是少画) 2)按与运算规则,在A、B同为1的区间,Y画1,其余地方 画0。注:画波形图时,“凸”起部分表示,“1”,“凹” 下去部分表示“0”。

丁志杰《数字电路》课后习题解答

丁志杰《数字电路》课后习题解答

(2Байду номын сангаас 证明“自等律” A ⋅ 1 = A , A + 0 = A 。真值表如下: 真值表 A 0 1 F = A·1 0 1 A 0 1 真值表 F = A+0 0 1
习题
(3) 证明“互补律” A ⋅ A = 0 , A + A = 1 。真值表如下: 真值表 A 0 1 F = A·A 0 0 A 0 1 真值表 F = A+A 1 1
第一章
习题
第一章习题 1-1 例 1.2.12 中转换前后两个数的绝对值哪个大?为什么? 答:转换前大。因为转换后舍去了后边的小数位。 1-2 将下列二进制数分别转换为八进制数、十六进制数和十进制数。 11001101.101,10010011.1111 解:(11001101.101)2 =(11 001 101.101)2= ( 315.5)8 =(1100 1101.1010)2 =( CD.A)16 =(128+64+8+4+1+0.5+0.125)10=(205.625)10 (10010011.1111)2 =(1001 0011.1111)2= (93.F)16 =(10 010 011.111 100)2 =( 223.74)8 =(128+16+2+1+0.5+0.25+0.125+0.0625)10=(147.9375)10 1-3 将下列十进制数转换为二进制、八进制和十六进制数。 121.56,73.85 解:1. 0Å1Å3Å7Å15Å30Å60Å121 0.56Æ0.12Æ0.24Æ0.48Æ0.96Æ0.92 1 1 1 1 0 0 1 1 0 0 0 1 所以: (121.56)10=(1111001.10001)2=(171.42)8=(79.88)16 2. 0Å1Å2Å4Å9Å18Å36Å73 0.85Æ0.7Æ0.4Æ0.8Æ0.6Æ0.2Æ0.4 1 0 0 1 0 0 1 1 1 0 1 1 0 (73.85)10=(1001001.11011)2=(111.66)8=(49.D8)16 1-4 将下列十六进制数转换为二进制、八进制和十进制数。 89.0F,E5.CD 解:(89.0F)16=(10001001.00001111)2=(211.036)8=(8*16+9+15/256)10=(137. 0.05859375)10 1-5 试求例 1.2.17 的转换误差,比较例 1.2.12 的转换误差,哪个大?为什么? 答:例 1.2.12 的误差大。例 1.2.17 实际上转换了 15 位二进制小数,而例 1.2.12 只转换了 5 位。 1-6 用十六位二进数表示符号数。试分别写出原码、反码和补码可表示的数值范围。 解: 原码 –(215-1) ~ +(215-1); 反码 –(215-1) ~ +(215-1); 补码 –215 ~ +(215-1) 1-7 设 n=8,求下列二进制数的反码: 101101,-101101,10100,-10100 解:先补齐 8 位,再求反;正数的反码是原码,负数的反码需求反。 (101101)反 =00101101 (-101101)反=11010010 (10100)反 =00010100 (-101101)反=11101011 1-8 设 n=8,求下列二进制数的补码: 101101,-101101,10100,-10100,101.001,-101.001 解:先补齐 8 位,再求补;正数的补码是原码,负数的补码需求补。 (101101)补 =00101101 (-101101)补=11010011 (10100)补=00010100 (-101101)补=11101100 (101.001)补 =00000101.001

数字电路第五版课后答案

数字电路第五版课后答案

第一章数字逻辑习题1.1 数字电路与数字信号图形代表的二进制数1.1.4 一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例MSB LSB0 1 2 11 12 (ms)解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/=100HZ占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10%数制将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于2−4(2)127 (4)解:(2)(127)D= 27 -1=()B-1=(1111111)B=(177)O=(7F)H(4)()D=B=O=H二进制代码将下列十进制数转换为 8421BCD 码:(1)43 (3)解:(43)D=(01000011)BCD试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28(1)+ (2)@ (3)you (4)43解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。

(1)“+”的ASCⅡ码为 0101011,则(00101011)B=(2B)H(2)@的ASCⅡ码为 1000000,(01000000)B=(40)H(3)you 的ASCⅡ码为本 1111001,1101111,1110101,对应的十六进制数分别为 79,6F,75(4)43 的ASCⅡ码为 0110100,0110011,对应的十六紧张数分别为 34,33逻辑函数及其表示方法在图题 1. 中,已知输入信号 A,B`的波形,画出各门电路输出 L 的波形。

解: (a)为与非, (b)为同或非,即异或第二章逻辑代数习题解答用真值表证明下列恒等式(3)A⊕ =B AB AB+ (A⊕B)=AB+AB解:真值表如下由最右边2栏可知,A⊕B与AB+AB的真值表完全相同。

用逻辑代数定律证明下列等式(3)A+ABC ACD C D E A CD E++ +( ) = + +解:A+ABC ACD C D E++ +( )=A(1+BC ACD CDE)+ += +A ACD CDE+= +A CD CDE+ = +A CD+ E用代数法化简下列各式 (3)ABC B( +C)解:ABCB( +C)= + +(A B C B C)( + )=AB AC BB BC CB C+ + + + +=AB C A B B+ ( + + +1)=AB C+(6)(A + + + +B A B AB AB ) ( ) ( )() 解:(A + + + +B A B AB AB ) () ( )( )= A B ⋅+ A B ⋅+(A + B A )(+ B )=AB(9)ABCD ABD BCD ABCBD BC + + + +解:ABCD ABD BCD ABCBD BC +++ +=ABC D D ABD BC D C ( + +) + ( + ) =B AC AD C D ( + + + ) =B A C AD ( + + + ) =B A C D ( + + ) =AB BC BD + +画出实现下列逻辑表达式的逻辑电路图,限使用非门和二输入与非门B AB AB = + + AB B = + A B = +(1)L AB AC =(2) ( ) L DAC = +已知函数L (A ,B ,C ,D )的卡诺图如图所示,试写出函数L 的最简与或表达式用卡诺图化简下列个式(3) ( )() L ABCD=+ +解: ( , , , ) L ABCDBCDBCDBCDABD = + + +(1)ABCD ABCD AB AD ABC+ + + +解:ABCD ABCD AB AD ABC+ + + +=ABCD ABCD ABC C D D AD B B C C ABC D D++ ( + )( + +)( + )( + +)( + )=ABCD ABCD ABCD ABCD ABCD ABCD ABCD+ + + + + +(6)L A B C D( , , , )=∑m(0,2,4,6,9,13)+∑d(1,3,5,7,11,15)解:L= +A D(7)L A B C D( , , , )=∑m(0,13,14,15)+∑d(1,2,3,9,10,11)解:L AD AC AB= + +已知逻辑函数L AB BC CA=+ + ,试用真值表,卡诺图和逻辑图(限用非门和与非门)表示解:1>由逻辑函数写出真值表用摩根定理将与或化为与非表达式L = AB + BC + AC = AB BC AC ⋅ ⋅4>由已知函数的与非-与非表达式画出逻辑图2> 由真值表画出卡诺图3> 由卡诺图,得逻辑表达式 LABBCAC = + +第三章习题MOS 逻辑门电路根据表题所列的三种逻辑门电路的技术参数,试选择一种最合适工作在高噪声环境下的门电路。

数字电子技术课后习题答案

数字电子技术课后习题答案

ABACBC
BC
A
00 01 11 10
00
1
0
1
11
0
1
0
Y ABC
❖ 3.13某医院有一、二、三、四号病室4间,每室设有 呼叫按钮,同时在护士值班室内对应的装有一号、 二号、三号、四号4个指示灯。
❖ 现要求当一号病室的按钮按下时,无论其它病室的 按钮是否按下,只有一号灯亮。当一号病室的按钮 没有按下而二号病室的按钮按下时,无论三、四号 病室的按钮是否按下,只有二号灯亮。当一、二号 病室的按钮都未按下而三号病室的按钮按下时,无 论四号病室的按钮是否按下,只有三号灯亮。只有 在一、二、三号病室的按钮均未按下四号病室的按 钮时,四号灯才亮。试用优先编码器74148和门电路 设计满足上述控制要求的逻辑电路,给出控制四个 指示灯状态的高、低电平信号。
HP RI/BIN
I0
0/ Z1 0 10 ≥1
I1
1/ Z1 1 11
I2
2/ Z1 2 12 18
YS
I3
3/ Z1 3 13
I4
4/ Z1 4 14
YEX
I5
5/ Z1 5 15
I6
6/ Z1 6 16
I7
7/ Z1 7 17
Y0
V18
Y1
ST
E N
Y2
(b)
74148
(a)引脚图;(b)逻辑符号
A
00 01 11 10
00
0
0
1
11
1
0
1
Y AB BC AC
由于存在AC 项,不存在相切的圈,故无冒险。
❖ 4.1在用或非门组成的基本RS触发器中,已知 输入SD 、RD的波形图如下,试画出输出Q, Q

数字电路课程重点总结含习题

数字电路课程重点总结含习题

数电课程各章重点项目一:1、什么是数字信号2、数制、BCD码的转换3、与门、或门、非门及各种复合门逻辑功能和符号4、OC门和三态门的符号、特点及应用5、卡诺图、代数法的化简6、组合逻辑电路的定义7、逻辑函数的一般表示形式8、组合逻辑电路的分析9、组合逻辑电路的设计(例如:全加器、三人表决器)项目二:1、译码器74LS138的功能和应用(尤其是构成函数发生器)2、数据选择器74LS151的功能和应用(尤其是构成函数发生器)3、编码器、全加器、数值比较器的功能;4、抢答器电路的理解;项目三项目五:1、触发器的特性和分类2、掌握RS、JK、D、T触发器的逻辑功能和特性方程3、掌握同步式、维持阻塞式、边沿式触发器的触发方式4、会根据给定触发器类型,分析画出触发器输出波形5、时序逻辑电路的定义和分类6、时序逻辑电路的分析7、计数器74LS161的功能和应用(反馈复位法CR和反馈预置法LD构成任意进制计数器)8、CD4520的功能和应用(构成任意进制计数器)9、CD4518的功能和应用(构成任意进制计数器)第一章逻辑代数基础知识要点一、在时间和数值上均做断续变化的信号,称为数字信号二、二进制、十进制、十六进制数之间的转换;A、R进制转换成十进制:按权展开,求和。

(1101.101)2=1×23+1×22+0×21+1×2+1×2-1+0×2-2+1×2-3(4E6)H= 4´162+14 ´161+6 ´160=(1254)DB、十进制转换成R进制:整数部分除R取余法,小数部分乘R取整法。

C、二进制转换八进制:三位并一位,八进制转换二进制:一位拆三位D、二进制转换十六进制:四位并一位,十六进制转换二进制:一位拆四位( 38)10=( 10 0110 )2 =( 26 )16=( 46 )8=( 0011 1000 ) 8421BCD =( 0110 1011)余3BCD 三、8421BCD、5421BCD、余3BCD码、格雷码8421BCD码①特点:每位十进制用四位二进制表示,并从高位到低位8 4 2 1即23、 22、 21、2属于有权码.②注意:不允许出现1010~1111这六个代码,十进制没有相应数码,称作伪码。

数字电路数字逻辑

数字电路数字逻辑

数字电路数字逻辑
数字电路是一种用来处理数字信号的电子电路,也称为数字系统或数字逻辑电路。

它是现代电子设备的基础,如计算机、通信设备和各种控制系统等。

数字电路以二值数字逻辑为基础,其工作信号是离散的数字信号,反映在电路上就是低电平和高电平两种状态(即0和1两个逻辑值)。

数字电路中的基本单元是逻辑门,它实现基本的逻辑运算,如与、或、非等。

逻辑门由半导体工艺制成的数字集成器件构造而成,常见的有与门、或门、非门、异或门等。

存储器是用来存储二进制数据的数字电路,它对数据的存储和读取都是以二进制的形式进行的。

从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。

组合逻辑电路的输出信号只与当时的输入信号有关,而与电路以前的状态无关,它不具有记忆功能。

而时序逻辑电路则具有记忆功能,其输出信号不仅和当时的输入信号有关,而且与电路以前的状态有关。

常见的时序逻辑电路有触发器和寄存器等。

数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。

现代的数字电路由半导体工艺制成的若干数字集成器件构造而成,具有体积小、功耗低、可靠性高、速度快、功能强等特点。

总的来说,数字电路是数字系统的基础,它的设计和应用涉及到计算机科学、电子工程、通信工程等多个领域。

数字电路逻辑设计课后习题答案 王毓银 第三版 无水印

数字电路逻辑设计课后习题答案 王毓银 第三版 无水印

7421 码
0000 0001 0010 0011 0100 0101 0110 1000 1001 1010
8421 码
0000 0011 0010 0101 0100 0111 0110 1001 1000 1011
解(31.67)10=(01100100.10011010)余3BCD码 (3)(465)10=(?)2421BCD码 解(465)10=(010011001011)2421BCD码 (4)(110110100011)631-BCD码=(?)10 解 (110110100011)631-BCD码=(870)10 (5)(1000020220010111)8421BCD码=(?)10 解 (1000020220010111)8421BCD码=(8597)10
2.用真值表证明下列等式:
(1) AB + AC + BC = (A + C)(A + B)
证明 当 A ,B ,C 取值在 000~111 变化时,左式和右式的逻辑值如表 2.5.2 所示,左式= 右式。 表 2.5.2
a
bc


0
00
0
0
0
01
1
1
0
10
0
0
0
11
1
1
1
00
0
0
1
01
0
0
1
10
整数部分 754
2
2 377
0
188
1
2
2 94
0
2 47
0
2 23
1
2 11
1
25
1
22
1
21

第12章 数模模数转换

第12章 数模模数转换

模拟电压 二进制编码 代表的模拟电压电平
1V
111
7=14/15 V
13/15 V
110
6=12/15 V
11/15 V
101
5=10/15 V
9/15 V
100
4=8/15 V
WR1:输入数据选通信号,低电平有效。(
上升沿锁存)
XFER:数据传送选通信号,低电平有效。 WR2:数据传送选通信号,低电平有效。(
上升沿锁存)
IOUT1:DAC输出电流1。当DAC锁存器中为全1时,IOUT1最大(满 量程输出);为全0时,IOUT1为0。
IOUT2:DAC输出电流2。它作为运算放大器的另一个差分输入 信号(一般接地)。满足 IOUT1+IOUT2 =
①D/A转换器模拟输出电压可能被分离的等级数--可用输 入数字量的位数n表示D/A转换器的分辨率;
②可用D/A转换器的最小输出电压与最大输出电压之比来表 示分辨率。
分辨率
U
Um
1 2n 1
分辨率越高,转换时对输入量的微小变化的反应越灵敏。 而分 辨率与输入数字量的位数有关,n越大,分辨率越高。
2. )转换误差
为模拟信号(IOUT1+IOUT2)输出。
DAC0832 的使用有双缓冲器型、单缓冲器型和直通型三
种工作方式。
DAC0832的三种工作方式
(a)双缓冲方式:采用二次缓冲方式,可在输出的同时,采集下一个数 据,提高了转换速度;也可在多个转换器同时工作时,实现多通道D/A的 同步转换输出。 (b)单缓冲方式:适合在不要求多片D/A同时输出时。此时只需一次写 操作,就开始转换,提高了D/A的数据吞吐量。 (c)直通方式:输出随输入的变化随时转换。

数字电子技术(山东工商学院)知到章节答案智慧树2023年

数字电子技术(山东工商学院)知到章节答案智慧树2023年

数字电子技术(山东工商学院)知到章节测试答案智慧树2023年最新第一章测试1.工作信号是模拟信号的电路称为数字电路。

参考答案:错2.将二进制数转换成十进制数。

(1100100)二进制=()十进制参考答案:1003.将十六进制数转换成二进制数。

( CD )十六进制=()二进制参考答案:110011014.二进制数减法运算,0110-0101= 0001。

参考答案:对5.逻辑代数有三个重要的运算规则,不是三规则的是()参考答案:对等规则6.=1参考答案:对7.已知真值表如右表所示,输入变量A、B,输出变量F;写出与真值表对应的逻辑函数表达式()A B F0 0 10 1 11 0 11 1 0参考答案:;8.化简, =()。

参考答案:B9.化简 =()。

参考答案:第二章测试1.组合逻辑电路的输出不仅仅与此刻电路的输入状态有关,还与电路过去的状态相关,电路中具有反馈环节,电路具有记忆存储功能。

参考答案:错2.组合逻辑电路的设计步骤一般为()参考答案:根据逻辑表达式画出逻辑电路图;选定门电路的类型和型号,按照门电路类型和型号变换逻辑表达式;将实际逻辑问题抽象成真值表;根据真值表写逻辑表达式,并化成最简式3.优先编码器可同时输入多个信号,但是只对优先级别最高的输入信号进行编码并输出参考答案:对4.普通编码器要求任何时刻只能有一个输入信号。

现有4个输入信号X3,X2,X1,X0,需要2位二进制数A1,A0进行编码。

功能表如右表所示,写出普通编码器输出变量逻辑表达式()。

X3 X2 X1 X0 A1 A00 0 0 1 0 00 0 1 0 0 10 1 0 0 1 01 0 0 0 1 1参考答案:A1=X3+X2;A0=X3+X15.输入是3位数的二进制译码器输出8种状态。

参考答案:对6.译码器的应用主要有地址分配、数据分配以及实现组合逻辑函数;右图所示译码器电路,其实现的函数是()。

参考答案:7.对于4选1数据选择器,数据选择线为A1A0二条线,输入数据线为D0D1D2D3四条数据线;输出Y的表达式如下:当A1A0=01,D0D1D2D3=0101时,Y=1参考答案:对8.74LS151是8选1数据选择器,按右图连接电路,输出Y可以实现函数是()。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
无法表示操作和输出变量与输入变量的函数关系。
4.各种逻辑框之间的时间关系
47
T1
001
EF/ 1×/ 001 01/ 00/ 010 100
A←A+1
0 0 T2 010
E
1
1 R←0
011
F
T3 011 T4 100
图 12.3.13 等
效状态图
图 12.3.12 ASM块
48
例:一个数字系统的数据处理器有2个触发器E
外部输入 控制信号
时钟
输入接口
控制 信号
控制器
状态 信号
输出接口
外部输出 控制信号
输入信号
输入接口
数据 处理器
数字逻辑子系统
输出接口
输出信号
图12.1.1 数字系统的一般模型
3
基本模型的功能
输入输出接口:完成A/D,D/A转换,同步化 处理。 数据处理器(受控电路):信息的传送处理。 控制器(控制电路):完成对数据处理器的 控制。
CP
现态 次态
图12.1.4 系统时钟脉冲波形
12
1Q n1 F( X ,Q n ,C )
1)最小时钟周期
Q1
1 m 输入 X 信号
Qm

4Z G( X ,Q n ,C )
输出 Z 信号 1
组合逻辑网络
C
2S Biblioteka ( X ,Q )S外部输入 控制信号 现态

(3)C=F(S,Q)
和F及1个二进制计数器A,计数器的各个位分 别用A4、A3、A2、A1标记,A4为最高位,A1 为最低位。启动信号S使计数器A和触发器F清 “0”,从下一个时钟脉冲开始,计数器增1,
一直到系统停止工作为止。
系统的操作序列由A3和A4之值决定,即:
①A3=0,触发器E清“0”,并继续计数。
49
②A3=1,触发器E置“1”,并检验A4,若A4=0, 继续计数;若A4=1,触发器F置“1”,系统停 止计数。
X<0
图12.3.6 算法流程图
41
三、算法状态机图(ASM图)
1.作用
按系统时序来描述系统的工作过程。
2.ASM图符号
(1)状态框 (2)判断框 (3)条件框
42
符号
二进制代码
T3
011
寄存器操作 或输出
R ← 0 START
(b)实例
(a)状态框
图12.3.7 状态框
0
分支
条件
1
分支
0
分支
条件
组合逻辑网络
(Q)状态 寄存器
外部输出 控制信号
(1)Qn+1=G(S,Qn)


m
控制网络
13
2.最小时钟周期
时钟脉冲有效边沿到达之前: 所有与操作有关的信号都应达到稳定值。 时钟脉冲有效边沿到达之后:
(1)寄存器状态Q更新,同时输入信号X变化,根 据S=R(X,Q),形成新的状态变量S。
(2)S稳定后,控制器根据C=F(S,Q)(其中Q 是已更新的寄存器状态)形成控制信号C。
组合逻辑网络
(Q)状态 寄存器
外部输出 控制信号 激励信号
Qn+1=G(S,Qn)
图12.1.3 控制器模型
控制器的描述方法:状态转移图或状态转移表
11
二、对数字系统的时序的约定
1.同步数字系统 (1)只有一个系统时钟; (2)输入信号都与系统时钟同步; (3)系统时钟同时到达所有存储元件的时钟脉冲 输入端。
n
&
A
图 11.2.2 实现语句 X T : A B的逻辑图 1
24
例:设两个源寄存器A、B,两个目标寄存器C、D。 试通过一个如下图所示的二选一数据选择器实现如 下寄存器传输语言: T1:CA T5:CB T6:DB:画出逻辑图
B
选择S 使能EN A
1 MUX
0
LDC
C
LDD
D
25
32
第三节 数字系统设计的其它描述工具
一、方框图
1.作用 描述数字系统的总体结构。
2.构成要素
方框:定义一个子系统,实现某种数据处理或传送等功能。 在方框内描述其功能(文字表达式等) ; 带箭头的直线:各子系统之间的信息通道。箭头表示信息 传输方向。 标注:表示主要信息通道的名称、功能或信息类型。 系统说明书:给每个子系统尽可能详细的说明。
钟出现为止; (2)让同步化后的输入与当前系统时钟的有效时 刻同时出现,并保持一个时钟周期。
16
1D Q ﹡ PB 1 C1 Q CP
1D Q 2 C1 Q
&
(a) 电路
PB
PB﹡ CP Q1 Q2 PB (b) 波形图
图11.1.5转换电路
17
三、数字系统的设计步骤
1.系统设计 明确设 计任务 算法流 ASM图 程图 ※确定输出和输入之间的关系,找到实现数字 系统的设计原理和方法。 确定初 始结构
33


系 统

(a)一般方框图
输 入 输 出 数据 显示 数据 打印



数据 采集 C
数据 处理
C
控制器
(b)系统分解图 图11.3.1 一个智能仪表的方框图
34
输 入
数据 采集 C
数据 处理
C
输 出
数据 显示 数据 打印
控制器
(C)进一步细化方案 图11.3.1 一个智能仪表的方框图
35
输入/输出接口
28
三、逻辑操作
与运算符“∧” ;或运算符“ ∨ ” ——为了与算术运算的符号 “· ”、“+”区别。 T1+T2:A A+B, C D∨F
两个操作同时实现(并行关系)
29
四、移位操作
1.右移操作:X←SR(A,X)
A X1 X2 … Xn
X←SR(X)
0 X1 X2 … Xn
2.左移操作:X←SL(X,A)
状态变量 S1 S2 定 义 X>0 X<0
ADDB
CLAB
B←B+X 输出Z=A
A←0,B←0
9
控制器

实现一个计算任务,必存在一个算法,控制器 就是用来规定算法的步骤。 控制器决定算法步骤,必须有记忆能力,所以 它是一个时序电路,应包含存储器

10
C=F(S,Q)
S C
外部输入 控制信号 现态
26
所以:S=T5+T6;EN=T1T5T6;LDC=T1+T5; LDD=T6
B 选择S A
1
1
1 使能EN
T5 T1 T6 1
0 MUX
C
D
27
二、算术操作
T2 : A←A+B T5 : A←A+1 B
B
并行加法器
T2 T5
并入
A+B
A
增1
A
图 11.2.5 完成加和增“1”操作的方框图
X1 X2 … Xn A
X←SL(X)
X1 X2 … Xn 0
30
五、条件控制语句
P:IF(条件)Then(微操作1)Else(微操作2) 控制函数
例: T2:IF(C=0) THEN(F1) ELSE(F0)可 以写成两个一般语句:
C· T2:F1 C· T2:F0。
31
小结
一条RTL 语句:描述数字系统所处的一个状 态。 其操作:说明数据处理器要实现的操作。 控制函数:说明控制器发出的命令。 一个RTL语句可以定义一个数字系统。
电 路 设 计
21
图12.1.6 数字系统设计步骤框图
12.2 寄存器传输语言(RTL)
1.寄存器传输操作
所存信息的处理和存贮
2.寄存器传输语言
既表示了寄存器传输操作,又和硬件间有个简 单的对应关系的一种方便的设计工具。 3.寄存器具有广义的概念 既包括暂存信息的寄存器,也包括移位寄 存器、计数器、存储器等
B 选择S
A
1
0
使能EN
MUX
列真值表:
LDC T1 0 0 0 0 1 1 1 1 T5 0 0 1 1 0 0 1 1 T6 S 0 1 0 1 0 1 0 1 1 1 1 0
C EN 1 0 0 0 0
LDD LDC 0 0 1 1 1
D LDD 0 1 0 1 0
1
分支
图12.3.8 判断框
43
T1 0 0× X1 X2 11
T1
X1 0
1 1
10
T2 T3 T4
T2
X2
T3
(a)真值表图解分支表示
T4
(b)变量优先级分支表示
图12.3.9 判断框3个分支表示
44
条件框为ASM图所特有的 ,条件框内的操作和输 出是在给定条件下,判断条件被满足时才发生的,所以 条件框的输入必定与判断框的分支相连。 T1 001 A0 寄存器操作 或输出 0

4
说明
控制器根据数据处理器的输出状态来产生控 制信号。 数据处理器受控制信号产生状态信号。 在一个计算步骤,控制器发出控制信号给数 据处理器,数据处理器完成控制信号所规定 的操作。 控制器是区别数字系统和简单的功能部件的 标志。

5
2.数据处理器
Q
n 1
F ( X ,Q ,C )
E
1 R←0
图 12.3.10 条件框
T2
010
图 12.3.11 条件框举例
45
相关文档
最新文档