数字逻辑电路设计课题
数字电子技术实验-组合逻辑电路设计
学生在使用实验箱时,应注意遵守实验室规定,正确连接电源和信号线, 避免短路和过载等事故发生。
实验工具介绍
实验工具类型
数字电子技术实验中常用的实验工具包括万用表、示波器、信号 发生器和逻辑分析仪等。
实验工具功能
这些工具用于测量电路的各种参数,如电压、电流、波形等,以及 验证电路的功能和性能。
01
02
03
逻辑门
最基本的逻辑元件,如与 门、或门、非门等,用于 实现基本的逻辑运算。
触发器
用于存储一位二进制信息, 具有置位、复位和保持功 能。
寄存器
由多个触发器组成,用于 存储多位二进制信息。
组合逻辑电路的设计方法
列出真值表
根据逻辑功能,列出输入和输 出信号的所有可能取值情况。
写出表达式
根据真值表,列出输出信号的 逻辑表达式。
05 实验结果与分析
实验结果展示
实验结果一
根据给定的逻辑函数表达式,成 功设计了对应的组合逻辑电路, 实现了预期的逻辑功能。
实验结果二
通过仿真软件对所设计的组合逻 辑电路进行了仿真测试,验证了 电路的正确性和稳定性。
实验结果三
在实际硬件平台上搭建了所设计 的组合逻辑电路,经过测试,实 现了预期的逻辑功能,验证了电 路的可实现性。
路图。
确保电路图清晰易懂,标注必要 的说明和标注。
检查电路图的正确性,确保输入 与输出之间的逻辑关系正确无误。
连接电路并测试
根据逻辑电路图,正确连接各 逻辑门和输入输出端口。
检查连接无误后,进行功能测 试,验证电路是否满足设计要 求。
如果测试结果不符合预期,检 查电路连接和设计,并进行必 要的调整和修正。
数字电子技术实验-组合逻辑电路 设计
数字逻辑电路实验课程设计
数字逻辑电路实验课程设计课程概述数字逻辑电路是计算机基础知识的重要组成部分,也是计算机专业课程中的重要一环。
本实验旨在通过实际操作,加深学生对数字逻辑电路原理的理解,增强学生动手实践能力,为以后相关课程的学习打下基础。
实验内容本实验的主要内容为数字逻辑电路的设计和仿真,其中包括以下几个实验项目:实验一:基础逻辑门的实现通过实验一,学生将掌握数字逻辑电路中基础逻辑门的实现方法。
实验中,学生会使用基础逻辑门实现多功能逻辑电路,练习基础逻辑电路的搭建和仿真。
实验二:组合逻辑电路的设计实验二主要是组合逻辑电路的设计与仿真。
学生将会独立设计组合逻辑电路,并调试仿真运行结果,本实验对于提高学生对组合逻辑电路理论的理解和实际操作能力有非常重要的作用。
实验三:时序逻辑电路的设计实验三主要是时序逻辑电路的设计与仿真。
学生将会掌握时序逻辑电路的设计方法,理解时序逻辑电路的工作原理。
本实验将从理论到实践,帮助学生更好的掌握时序逻辑电路的应用。
实验要求•学生需要在上课前自行预习相关知识,对每个实验项目做好实验前的准备工作。
•实验过程中,学生需要根据实验要求,独立完成实验任务,并认真记录实验过程和实验数据。
•实验报告需要按照规定格式书写,其中需包含实验目的、实验原理、实验过程、实验结果及分析等内容。
•实验完成后,需要将实验报告在规定时间内提交给授课教师,如需重做实验,需要重新安排实验时间。
实验评分每个实验项目的实验报告占总成绩的30%。
实验报告将按照格式、实验完成情况以及实验结果分析等的得分进行评分。
实验报告迟交或抄袭者,将会被计入不及格分数。
实验工具本实验需要使用电路模拟软件进行实验操作,建议使用PSPICE或MULTISIM等相关软件。
学生需要提前安装或下载相关软件,并进行必要的学习和练习。
实验总结数字逻辑电路实验是计算机专业非常重要的实践环节。
通过本实验,学生将了解到数字逻辑电路的设计与原理,并能够熟练掌握数字逻辑电路仿真工具的使用。
数字逻辑电路课程设计_4B5B编码_VHDL实现(含完整代码)
电子科技大学UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA数字逻辑设计实验报告实验题目:4B5B编码器学生:指导老师:一、实验容4B/5B编码是百兆以太网中线路层编码类型之一,该试验需要实现用5bit 的二进制数来表示4bit二进制数。
二、实验要求1、功能性要求:能够实现4B5B编码,即输入4bit数据时能输出正确的5bit编码结果。
2、算法要求:利用卡诺图对编码真值表进行化简,得出其逻辑表达式,并基于此进行硬件设计。
3、设计性要求:使用代码及原理图两种设计方式来进行设计。
采用基本门结构化描述。
能够编写Test Bench文件,并利用Modelsim进行仿真。
三、实验原理及设计思路1、实验原理:在IEEE 802.9a等时以太网标准中的4B:5B编码方案,因其效率高和容易实现而被采用。
这种编码的特点是将欲发送的数据流每4bit作为一个组,然后按照4B/5B编码规则将其转换成相应5bit码。
5bit码共有32种组合,但只采用其中的16种对应4bit码的16种,其他的16种或者未用或者用作控制码,以表示帧的开始和结束、光纤线路的状态(静止、空闲、暂停)等。
4B5B编码表如下:2、设计思路:(1)整体思路:对已知的编码真值表,首先利用卡诺图对其进行化简,得出其逻辑表达式,再用基本门结构将其实现。
(2)卡诺图与表达式:设输入的4位编码为:ABCD,输出的5位编码为:VWXYZ,则分别画出其卡诺图并得出表达式如下:1.V:V=A+B’D’+B’C2.W:W=B+A’C’X=C+A’B’D’4.Y:Y=A’B+AB’+C’D’+AC’Z=D(3)基本门结构设计:由上述表达式可见,用到的基本门有:非门、2输入与门、3输入与门、2输入或门、3输入或门、4输入或门,用not、and、or将其一一表示出即可。
四、程序设计1、顶层模块:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity main isPort ( a : in STD_LOGIC;b : in STD_LOGIC;c : in STD_LOGIC;d : in STD_LOGIC;v : out STD_LOGIC;w : out STD_LOGIC;x : out STD_LOGIC;y : out STD_LOGIC;z : out STD_LOGIC);end main;architecture Behavioral of main isCOMPONENT notiPORT(i : IN std_logic;);END COMPONENT;COMPONENT and2iPORT(i1 : IN std_logic;i2 : IN std_logic;o : OUT std_logic);END COMPONENT;COMPONENT and3iPORT(i1 : IN std_logic;i2 : IN std_logic;i3 : IN std_logic;o : OUT std_logic);END COMPONENT;COMPONENT or2iPORT(i1 : IN std_logic;i2 : IN std_logic;o : OUT std_logic);END COMPONENT;COMPONENT or3iPORT(i1 : IN std_logic;i2 : IN std_logic;i3 : IN std_logic;o : OUT std_logic);END COMPONENT;COMPONENT or4iPORT(i1 : IN std_logic;i2 : IN std_logic;i3 : IN std_logic;i4 : IN std_logic;);END COMPONENT;signal nota,notb,notc,notd,v1,v2,v3,w1,w2,x1,x2,y1,y2,y3,y4,vv,ww,xx,yy,zz : std_logic;begin--not--Inst_noti_nota: noti PORT MAP(i => a,o => nota);Inst_noti_notb: noti PORT MAP(i => b,o => notb);Inst_noti_notc: noti PORT MAP(i => c,o => notc);Inst_noti_notd: noti PORT MAP(i => d,o => notd);--v--v1<=a;Inst_and2i_v2: and2i PORT MAP(i1 => notb,i2 => notd,o => v2);Inst_and2i_v3: and2i PORT MAP(i1 => notb,i2 => c,o => v3);Inst_or3i_vv: or3i PORT MAP(i1 => v1,i2 => v2,i3 => v3,o => vv);--w--w1<=b;Inst_and2i_w2: and2i PORT MAP( i1 => nota,i2 => notc,o => w2);Inst_or2i_ww: or2i PORT MAP(i1 => w1,i2 => w2,o => ww);--x--x1<=c;Inst_and3i_x2: and3i PORT MAP( i1 => nota,i2 => notb,i3 => notd,o => x2);Inst_or2i_xx: or2i PORT MAP(i1 => x1,i2 => x2,o => xx);--y--Inst_and2i_y1: and2i PORT MAP( i1 => nota,i2 => b,o => y1);Inst_and2i_y2: and2i PORT MAP( i1 => a,i2 => notb,o => y2);Inst_and2i_y3: and2i PORT MAP( i1 => notc,i2 => notd,o => y3);Inst_and2i_y4: and2i PORT MAP( i1 => a,i2 => notc,o => y4);Inst_or4i_yy: or4i PORT MAP(i1 => y1,i2 => y2,i3 => y3,i4 => y4,o => yy);--z--zz<=d;--not--Inst_noti_v: noti PORT MAP(i => vv,o => v);Inst_noti_w: noti PORT MAP(i => ww,o => w);Inst_noti_x: noti PORT MAP(i => xx,o => xInst_noti_y: noti PORT MAP(i => yy,o => y);Inst_noti_z: noti PORT MAP(i => zz,o => z);end Behavioral;2、非门:entity noti isPort ( i : in STD_LOGIC;o : out STD_LOGIC);end noti;architecture Behavioral of noti is begino <= not i;end Behavioral;3、2输入与门:entity and2i isPort ( i1 : in STD_LOGIC;i2 : in STD_LOGIC;o : out STD_LOGIC);end and2i;architecture Behavioral of and2i is begino <= i1 and i2;end Behavioral;4、3输入与门:entity and3i isPort ( i1 : in STD_LOGIC;i2 : in STD_LOGIC;i3 : in STD_LOGIC;o : out STD_LOGIC);end and3i;architecture Behavioral of and3i isbegino<=i1 and i2 and i3;end Behavioral;5、2输入或门:entity or2i isPort ( i1 : in STD_LOGIC;i2 : in STD_LOGIC;o : out STD_LOGIC); end or2i;architecture Behavioral of or2i is begino<=i1 or i2;end Behavioral;6、3输入或门:entity or3i isPort ( i1 : in STD_LOGIC;i2 : in STD_LOGIC;i3 : in STD_LOGIC;o : out STD_LOGIC); end or3i;architecture Behavioral of or3i is begino <= i1 or i2 or i3;end Behavioral;7、4输入或门:entity or4i isPort ( i1 : in STD_LOGIC;i2 : in STD_LOGIC;i3 : in STD_LOGIC;i4 : in STD_LOGIC;o : out STD_LOGIC); end or4i;architecture Behavioral of or4i is begino<=i1 or i2 or i3 or i4;end Behavioral;五、仿真与硬件调试1、仿真:(1)顶层仿真:1.仿真文件:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY test1 ISEND test1;ARCHITECTURE behavior OF test1 IS-- Component Declaration for the Unit Under Test (UUT)COMPONENT mainPORT(a : IN std_logic;b : IN std_logic;c : IN std_logic;d : IN std_logic;v : OUT std_logic;w : OUT std_logic;x : OUT std_logic;y : OUT std_logic;z : OUT std_logic);END COMPONENT;--Inputssignal a : std_logic := '0';signal b : std_logic := '0';signal c : std_logic := '0';signal d : std_logic := '0';--Outputssignal v : std_logic;signal w : std_logic;signal x : std_logic;signal y : std_logic;signal z : std_logic;-- No clocks detected in port list. Replace <clock> below with -- appropriate port nameBEGIN-- Instantiate the Unit Under Test (UUT)uut: main PORT MAP (a => a,b => b,c => c,d => d,v => v,w => w,x => x,y => y,z => z);-- Stimulus processstim_proc: processbegina<='0';b<='0';c<='0';d<='0'; wait for 100 ns;a<='0';b<='0';c<='0';d<='1'; wait for 100 ns;a<='0';b<='0';c<='1';d<='0'; wait for 100 ns;a<='0';b<='0';c<='1';d<='1'; wait for 100 ns;a<='0';b<='1';c<='0';d<='0'; wait for 100 ns;a<='0';b<='1';c<='0';d<='1'; wait for 100 ns;a<='0';b<='1';c<='1';d<='0'; wait for 100 ns;a<='0';b<='1';c<='1';d<='1'; wait for 100 ns;a<='1';b<='0';c<='0';d<='0'; wait for 100 ns;a<='1';b<='0';c<='0';d<='1'; wait for 100 ns;a<='1';b<='0';c<='1';d<='0'; wait for 100 ns;a<='1';b<='0';c<='1';d<='1'; wait for 100 ns;a<='1';b<='1';c<='0';d<='0'; wait for 100 ns;a<='1';b<='1';c<='0';d<='1'; wait for 100 ns;a<='1';b<='1';c<='1';d<='0'; wait for 100 ns;a<='1';b<='1';c<='1';d<='1'; -- insert stimulus herewait;end process;END;2.仿真结果:(2)非门仿真:(3)2输入与门仿真:(4)2输入或门仿真:(5)3输入或门仿真:(6)4输入或门仿真:2、硬件调试:(1)管脚配置:NET "a" LOC = P6; #sb1NET "b" LOC = P141;NET "c" LOC = P136;NET "d" LOC = P129;NET "v" LOC = P122;NET "w" LOC = P123;NET "x" LOC = P124;NET "y" LOC = P125;NET "z" LOC = P126;(2)调试结果:如上图所示,4B码为“0000”,其对应的5B码为“11110”。
数字逻辑与电路设计实践教案
数字逻辑与电路设计实践教案教案:数字逻辑与电路设计实践一、教学目标1.掌握数字逻辑的基本概念和电路设计的基本原则。
2.学会分析和设计简单的数字电路。
3.培养学生对数字逻辑电路的兴趣和解决问题的能力。
二、教学内容1.数字逻辑的基本概念2.电路设计的基本原则3.简单数字电路的分析与设计4.数字逻辑电路的应用实例三、教学步骤1.导入新课:通过展示一些常见的数字逻辑电路应用实例(如计算机、计数器等),引导学生思考数字逻辑电路的基本概念和作用。
2.学习数字逻辑的基本概念:介绍数字逻辑的基本概念,包括二进制数制、逻辑代数、门电路等。
通过实例和习题帮助学生加深理解。
3.学习电路设计的基本原则:介绍电路设计的基本原则,包括电源、接地、布线等。
通过实例和习题帮助学生加深理解。
4.分析简单数字电路:通过实例,引导学生分析简单的数字电路,如AND、OR、NOT等门电路,以及如何使用这些门电路组成更复杂的电路。
5.设计简单数字电路:通过实例,引导学生设计简单的数字电路,如一位全加器、一位比较器等。
鼓励学生尝试不同的设计方案,并通过讨论和指导完善设计方案。
6.应用实例讲解:介绍数字逻辑电路的应用实例,如计算机中的CPU、内存等,引导学生了解数字逻辑电路在计算机科学中的应用。
7.课堂互动与讨论:通过提问、讨论等方式,鼓励学生参与课堂互动,加深对数字逻辑电路的理解。
8.布置作业:布置相关习题和项目,帮助学生巩固所学知识和提高实践能力。
9.复习与总结:回顾本节课的重点内容,总结数字逻辑与电路设计的基本概念和实践方法。
四、教学评价1.通过课堂互动和讨论,观察学生对数字逻辑和电路设计的理解程度。
2.通过课后作业和项目,评价学生的实践能力和解决问题的能力。
3.通过定期测验和考试,检查学生对本课程内容的掌握程度。
五、教学反思1.反思教学内容是否符合学生的认知水平和兴趣爱好。
2.反思教学方法是否能够激发学生的学习兴趣和参与度。
3.反思教学评价是否能够真实反映学生的学习情况和能力水平。
数字逻辑的应用(电路设计问题)
数字逻辑的应用(电路设计问题)数字逻辑是计算机科学中的一个重要领域,它涉及到将输入的数字信号经过逻辑运算,得到输出的数字信号的过程。
数字逻辑的应用非常广泛,特别是在电路设计中。
本文将讨论几个常见的数字逻辑应用,以解决电路设计问题。
1. 组合逻辑电路组合逻辑电路是由逻辑门组成的,根据输入信号的状态,直接输出相应的逻辑结果。
常见的逻辑门包括与门、或门、非门等。
组合逻辑电路可以用于解决一些简单的电路设计问题,例如逻辑运算、信号转换等。
2. 时序逻辑电路时序逻辑电路是通过触发器和时钟信号来实现的,它可以根据时钟信号的变化来控制输出信号的状态。
时序逻辑电路可以用于解决一些复杂的电路设计问题,例如计数器、状态机等。
3. 编码器和解码器编码器和解码器是数字逻辑电路中常见的组件。
编码器将一组输入信号转换为一个编码输出信号,而解码器则将编码信号转换回原始输入信号。
编码器和解码器可以用于数据压缩、数据转换等应用。
4. 多路选择器多路选择器是一种能够根据控制信号选择不同输入信号的电路。
它可以用于实现数据的复用和切换,提高电路的效率和灵活性。
5. 存储器存储器是数字逻辑电路中的重要组件,用于存储和读取数据。
常见的存储器包括随机存储器(RAM)和只读存储器(ROM)。
存储器的应用非常广泛,从计算机内存到闪存等都离不开存储器。
总结起来,数字逻辑的应用在电路设计中起到了至关重要的作用。
通过组合逻辑电路、时序逻辑电路、编码器和解码器、多路选择器以及存储器等组件的应用,可以解决各种电路设计问题。
数字逻辑的发展和应用将在未来继续推动电子技术的进步。
数字逻辑电路设计实验教案赵蕙
数字逻辑电路设计实验教案赵蕙一、实验目的1. 理解数字逻辑电路的基本概念和原理。
2. 掌握逻辑门电路的组成和功能。
3. 学会使用逻辑门电路设计简单的数字电路。
4. 培养实验操作能力和团队协作能力。
二、实验原理1. 数字逻辑电路的基本概念:数字逻辑电路是由逻辑门电路组成的,能够实现数字信号的逻辑运算和处理。
2. 逻辑门电路:包括与门、或门、非门、异或门等,分别实现不同的逻辑运算。
3. 数字电路设计:根据实际需求,选择合适的逻辑门电路进行组合,实现所需的数字功能。
三、实验内容1. 实验器材:逻辑门电路模块、导线、电源等。
2. 实验任务:设计与实现一个简单的数字电路,完成特定的逻辑功能。
3. 实验步骤:a. 了解并分析所需实现的数字逻辑功能。
b. 选择合适的逻辑门电路,进行电路设计。
c. 连接电路,进行功能验证。
d. 分析实验结果,优化电路设计。
四、实验注意事项1. 实验前需认真了解实验原理和内容,明确实验目的。
2. 严格遵守实验操作规程,确保实验安全。
3. 实验过程中,要仔细观察现象,认真记录数据。
五、实验报告要求1. 报告内容:实验目的、原理、内容、步骤、结果及分析。
2. 报告格式:文字描述清晰,图表规范,数据准确。
4. 提交时间:实验结束后一周内。
六、实验评价1. 评价标准:能否准确理解数字逻辑电路的基本概念和原理。
是否能够熟练掌握逻辑门电路的组成和功能。
能否独立完成简单的数字电路设计。
2. 评价方法:实验过程观察:观察学生在实验过程中的操作是否规范,是否能与团队成员有效沟通协作。
实验结果分析:分析学生设计的数字电路是否能实现预定功能,结果是否准确。
实验报告评审:评审学生的实验报告是否内容完整,分析深入,结论合理。
七、实验拓展1. 数字逻辑电路的进一步学习:学习组合逻辑电路和时序逻辑电路的design。
研究数字电路的仿真和实际应用。
2. 相关课程和资源推荐:数字电路与逻辑设计相关课程。
专业书籍和在线教程。
数字逻辑电路教学设计
数字逻辑电路教学设计1. 教学背景数字逻辑电路是计算机科学与技术、电子信息工程等专业中的重要基础课程,对于学生后续的学习和研究都具有重要的作用。
为了更好地促进学生对数字逻辑电路知识的掌握并提高教学质量,需要设计出一套科学有效的教学方案。
2. 教学目标本教学方案的主要目标是:•解释数字逻辑电路的基本概念和原理•演示数字逻辑电路的设计和分析方法•发掘数字逻辑电路在工程实践中的应用3. 教学内容数字逻辑电路教学内容包括:1.数字电路基础知识2.组合逻辑电路设计3.时序逻辑电路设计4.存储器设计5.CPU设计在具体的课程设计中,教师可以根据学生的专业背景和学习需求,适当调整上述教学内容的深度和广度。
4. 教学方法为了达到教学目标,本教学方案采用了以下教学方法:1.前置知识讲解:在进行数字逻辑电路教学之前,需要对学生进行必要的前置知识讲解,包括布尔代数、逻辑运算符等,为后续的课程内容打下良好的基础。
2.理论授课:采用讲授、演示等多种方式,对数字逻辑电路的基本概念、原理、设计和分析方法进行详细讲解。
3.实验实践:在理论课程的基础上,组织学生参与数字逻辑电路的实验设计,通过实验帮助学生深入理解数字逻辑电路的工作原理和应用。
4.课程设计:对于工程类专业学生,本教学方案将重点关注数字逻辑电路在工程实践中的应用,通过课程设计等方式培养学生解决实际问题的能力和技能。
5. 教学评估为了帮助教师对学生的学习成果进行准确评估,本教学方案采用了多元化的评估方式,包括:1.课堂测验:在课堂上或课后进行简答题、选择题等形式的测验,考察学生对数字逻辑电路基本概念的掌握程度。
2.实验报告:学生需要结合实验,撰写实验报告,评价其对数字逻辑电路理论知识的掌握能力和实验设计能力。
3.课程设计:针对工程类专业学生,本教学方案将开展一定难度的数字逻辑电路课程设计,考察学生对数字逻辑电路的设计和分析能力。
4.期末考试:在课程结束时进行期末考试,考察学生对数字逻辑电路全面知识的掌握程度。
数字逻辑电路课设电子密码锁电路
目录1 课题背景.................................................1.1 目的意义............................................1.2 基本理论基础........................................2 方案比较与选择...........................................2.1 方案一..............................................2.2 方案二..............................................2.3 方案选择............................................3 组合逻辑电路.............................................3.1组合逻辑电路的分析方法..............................3.2组合逻辑电路的设计................ 错误!未指定书签。
4 TTL与非门电路 ..........................................4.1 74LS00芯片引脚图及功能表..........................4.2 74LS20芯片引脚图及功能表........ 错误!未定义书签。
5 电路原理图...............................................6 总结与心得...............................................参考文献...................................................1 课题背景1.1 目的意义随着电子工业的发展,数字电子技术已经深入到了人们生活的各个层面,而且各种各样的电子产品也正在日新月异地向着高精尖技术发展。
数字逻辑与数字电路课程设计
数字逻辑与数字电路课程设计一、设计背景数字逻辑与数字电路是计算机科学专业的基础课程之一,它主要涵盖了数字信号的表示和处理,是计算机设计和实现中必备的一部分。
本次课程设计旨在让学生通过实践掌握数字逻辑和数字电路的知识,以及设计数字电路的能力。
通过完成本课程设计,学生可以加深对数字逻辑和数字电路的理解,同时提升他们的实践能力和解决问题的能力。
二、设计任务本次课程设计主要分为两个部分:数字逻辑实验和数字电路设计。
学生需要独立完成以下设计任务:1. 数字逻辑实验在本部分任务中,学生需要通过实验掌握数字逻辑的知识,包括数字信号的表示和处理,数字电路的基本构成,以及逻辑门电路的设计和实现。
具体的实验内容包括:•数字信号的表示和传输实验•逻辑门电路的设计和实现实验•组合逻辑电路设计实验•时序逻辑电路设计实验以上实验的具体内容和要求将在教学过程中给出。
2. 数字电路设计在本部分任务中,学生需要独立设计一个数字电路,该电路需要包括以下要求:•设计一个数字电路,要求满足特定的功能需求(需在教学过程中给出)•独立完成电路设计和仿真•备注电路设计思路和设计注意点•编写实验报告三、设计要求在完成本次课程设计时,学生需要满足以下要求:1.学生需要独立完成任务,并且不得抄袭或参考他人作业。
2.课程设计需要使用具有仿真能力的数字电路软件,如Proteus、Multisim等。
3.设计的电路需要经过仿真验证,并且保证实验结果是正确的。
4.实验报告需要使用Markdown文本格式,并附上仿真截图和思路分析。
5.实验报告需要在规定时间内提交,逾期不予评分。
四、设计评分本次课程设计的评分主要从以下几个方面进行考核:1.实验报告的格式是否正确,是否能够清晰地表达设计思路和仿真结果。
2.数字逻辑实验的完成情况和实验结果是否正确。
3.数字电路设计的完成情况和电路的功能是否满足要求。
4.总体评价:包括实验的难度、完成质量和表现等。
五、结语数字逻辑和数字电路是计算机科学专业必修的一门课程,本次课程设计旨在通过实践提高学生的数字电路设计能力和解决问题的能力。
数字逻辑电路课程设计_交通灯控制器
共阴极数码管:将每个数码管的公共端(阴极)分别接三-八译码器的输出,三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码输入端。
5.2动态显示及译码电路设计:
七段译码:
--bcd-7seg
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENTITY bcd_7seg IS
PORT(
in_data:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
END CASE;
END PROCESS;
END rtl;
6.总体电路设计:
6.1总体电路:
6.2电路工作说明:
7电路仿真调试:
7.1控制电路仿真调试:
7.2倒计时电路仿真调试:
7.3译码显示电路仿真调试:
7.4总体电路仿真调试,下载验证:
改进意见及收获体会:
参考文献:
《数字电子技术》(第二版)东南大学出版社出版
主干道预置数
支干道预置数
状态
D7D6D5D4
D3D2D1D0
D7D6D5D4
D3D2D1D0
S0
00
0000
0101
0000
0101
S1
01
0101
0000
0100
0101
S2
10
0000
数字电路课程设计(5篇)
数字电路课程设计(5篇)第一篇:数字电路课程设计数字电路课程设计要求:1.结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。
2.每人独立完成一篇课程论文,论文至少2000字,可手写,也可打印(打印稿的格式另附)。
3.要求写出设计背景,理论基础,设计思路,设计过程,调试过程,仿真过程(可选),最终电路等。
4.总结所设计电路的优点,缺点,改进方向。
5.严禁抄袭,所有雷同论文均以0分计。
6.选多功能数字钟的同学在数字电路实验室完成实验。
选其它题目的同学所需软硬件资源请自行解决。
第二篇:数字电路课程设计一、设计报告书的要求: 1.封面2.课程设计任务书(题目,设计要求,技术指标等)3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。
3.目录4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。
⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。
⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。
⑷ 用protel画原理电路图。
(5)用Multisim或者Proteus画仿真图。
5.总图。
6.课题小结(设计的心得和调试的结果)。
7.参考文献。
二、评分依据:①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。
三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)1、基于DC4011水箱水位自动控制器的设计与实现水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。
要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。
2、基于CD4011声控、光控延时开关的设计与实现要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。
数字逻辑电路设计题目
课题一交通灯控制逻辑电路设计一、概况为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。
其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。
1.1 交通灯控制器系统框图二、设计任务和要求设计一个十字路口交通信号灯控制器,其要求如下:1.满足如图1.2顺序工作流程。
图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。
它们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。
t为时间单位图1.2 交通灯顺序工作流程图2. 应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。
时序工作流程图见图3.3所示。
图3.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别为15秒、3秒、18秒,一次循环为36秒。
其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。
146789101112503254603tNSG图1.3 交通灯时序工作流程图3. 十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。
具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式工作,直至减到数为“0”,十字路口红、绿等交换,一次工作循环结束,而进入下一步某方向的工作循环。
例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为18,并使数显计数器开始减“1”计数,当减到绿灯灭而黄灯亮(闪耀)时,数显得值应为3,当减到“0”时,此时黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯亮,并置东西方向的数显为18。
4. 可以手动调整和自动控制,夜间为黄灯闪耀。
5. 在完成上述任务后,可以对电路进行以下几方面的电路改进或扩展。
数字逻辑电路课程设计题
数字逻辑电路课程设计题一、教学目标本课程的教学目标是使学生掌握数字逻辑电路的基本原理和设计方法,培养学生运用数字逻辑电路解决实际问题的能力。
具体目标如下:1.知识目标:(1)了解数字逻辑电路的基本概念、组成原理和特点;(2)掌握逻辑门、逻辑函数、逻辑代数和逻辑表达式的基本概念及应用;(3)熟悉常用的数字逻辑电路设计方法,如组合逻辑电路、时序逻辑电路等;(4)了解数字逻辑电路的设计流程和仿真方法。
2.技能目标:(1)能够运用逻辑门和逻辑函数设计简单的组合逻辑电路;(2)能够运用触发器和时序逻辑电路设计简单的时序逻辑电路;(3)具备分析、调试和优化数字逻辑电路的能力;(4)能够使用数字逻辑电路设计软件进行电路仿真。
3.情感态度价值观目标:(1)培养学生对数字逻辑电路的兴趣,激发学生主动学习的内在动机;(2)培养学生团队合作精神,提高学生沟通与协作能力;(3)培养学生勇于探究、敢于创新的精神,培养学生的实践能力。
二、教学内容本课程的教学内容主要包括以下几个部分:1.数字逻辑电路基本概念:数字逻辑电路的定义、特点、组成原理等;2.逻辑门和逻辑函数:逻辑门的基本类型及特点、逻辑函数的定义及性质、逻辑表达式的书写规则等;3.组合逻辑电路:组合逻辑电路的定义、设计方法、常用组合逻辑电路的功能及应用等;4.时序逻辑电路:时序逻辑电路的定义、设计方法、常用时序逻辑电路的功能及应用等;5.数字逻辑电路的设计流程与仿真:数字逻辑电路的设计方法、仿真软件的使用等。
三、教学方法本课程采用多种教学方法,以激发学生的学习兴趣和主动性:1.讲授法:教师讲解基本概念、原理和方法,引导学生掌握数字逻辑电路的知识体系;2.讨论法:分组讨论,让学生在探讨中加深对数字逻辑电路的理解;3.案例分析法:分析实际案例,让学生学会将理论知识应用于实际问题;4.实验法:动手实验,让学生在实践中掌握数字逻辑电路的设计方法和技巧。
四、教学资源本课程的教学资源包括:1.教材:选用权威、实用的教材,为学生提供系统、全面的知识体系;2.参考书:提供丰富的参考资料,帮助学生拓展知识面;3.多媒体资料:制作课件、教学视频等,以直观、生动的方式展示教学内容;4.实验设备:提供充足的实验设备,让学生在实践中掌握数字逻辑电路的设计方法和技巧。
“数字逻辑电路实验”课程教学改革研究——以安徽三联学院为例
人才培育论点ARGUMENT139基金课题:安徽省教育厅质量工程教学研究项目“基于Multisim的数字逻辑电路实验教学的研究”(项目编号:2021jyxm0458)、“基于Multisim和LabVIEW虚实结合的电路与电子技术实验教学研究与实践”(项目编号:2022jyxm490)。
“数字逻辑电路实验”课程教学改革研究 ——以安徽三联学院为例文/余善好作为计算机科学与技术专业的一门基础课程,“数字逻辑电路实验”不仅对学生后续的课程学习起着重要的作用,而且对学生综合素质的培养有着较大影响。
本文在分析和总结传统实验课程教学问题的基础上,对安徽三联学院“数字逻辑电路实验”课程教学改革的内容进行了介绍。
“数字逻辑电路”课程是安徽三联学院(以下简称“三联学院”)计算机科学与技术专业的基础课程,而“数字逻辑电路实验”课程又是独立设课的一门专业基础课程。
随着计算机技术的快速发展,作为三联学院实验课程的“数字逻辑电路实验”课程也需要与时俱进,确保在教学过程中发挥积极作用。
因此,相关一线教师在教学过程中应寻找合适的教学方法和教学内容。
然而,部分实验课程实际上是学生的机械模仿,学生只是按照教师所说的实验流程将实验重复一遍,学生在学习过程中的主导作用并没有得到有效发挥,实验课程的教学目的也大打折扣。
为了解决上述问题,三联学院自2020年以来进行了多次教学改革和实践,并取得了丰硕的成果。
一、传统实验课程教学中存在的问题目前,应用型本科院校课程的内容设置和教学大纲一般是依据其人才培养方案来确定的,实验课程也不例外。
在传统的实验课程中,学生往往是根据教师所教授的实验方法机械地模仿实验步骤,并没有认真思考实验的原理、步骤以及结果。
具体来说,传统实验教学过程中主要存在以下突出问题:①教学方法简单老套,与理论课相同,学生在教学过程中的主体地位常常被忽视;②实验内容的设置不合理,基础性、验证性实验所占实验内容的比重较大,综合性、设计性实验所占比重较小,容易让学生误认为实验课就是一味地验证书本上的理论知识,进而产生轻视实验课程的思想;③教学手段单一,未充分利用媒体资源以及网络信息资源;④学生实验成绩评定方式单一,教师通常按照实验报告和实验考核以各占50%的标准进行实验成绩评定,这种成绩评定方式存在一定的不合理性。
数字逻辑设计课题
2.1 原理图方式设计3-8译码器一、设计目的1、通过设计一个3-8译码器,掌握组合逻辑电路设计的方法。
2、初步了解QuartusII采用原理图方式进行设计的流程。
3、初步掌握FPGA开发的流程以及基本的设计方法、基本的仿真分析方法。
二、设计原理三、设计内容四、设计步骤1、建立工程文件1)双击桌面上的Quartus II的图标运行此软件。
开始界面2)选择File下拉菜单中的New Project Wizard,新建一个工程。
如图所示。
新建工程向导3)点击图中的next进入工作目录。
新建工程对话框4)下图第一个输入框为工程目录输入框,用来指定工程存放路径,建议可根据自己需要更改路径,若直接使用默认路径,可能造成默认目录下存放多个工程文件影响自己的设计,本步骤结束后系统会有提示(当然你可不必理会,不会出现错误的)。
第二个输入框为工程名称输入框。
第三个输入框为顶层实体名称输入框,一般情况下保证工程名称与顶层实体名称相同。
设定完成后点击next。
指定工程路径、名称5)设计中需要包含的其它设计文件,在此对话框中可不做任何修改,直接点击next。
工程所需其它文件对话框6)在弹出的对话框中进行器件的选择。
在Device Family框中选用Cyclone II,然后在Available device框中选择EP2C35F484C8(根据实际情况选择),点击next进入下一步。
器件选择界面7)下面的对话框提示可以勾选其它的第三方EDA设计、仿真的工具,暂时不作任何选择,在对话框中按默认选项,点击next。
第三方EDA工具选择8)出现新建工程以前所有的设定信息后,点击finish完成新建工程的建立。
工程信息2、建立图形设计文件1)在创建好设计工程后,选择File下拉菜单中New菜单。
工程下新建设计文件2)在New对话框中选择Device Design Files页下的Block Diagram/Schematic File,点击OK,出现原理图编辑窗口。
数字逻辑电路设计及应用(10进制转2进制)
数字逻辑电路设计及应用C程序设计报告(1)[问题]:设计一个C程序实现任意十进制数到二进制数的转换,二进制精度为11位。
[思路]:1.十进制数转二进制数对整数和小数的处理时不一样的。
所以设计程序时,也应该对读入的整数和小数的数据分开处理。
(分开的办法可以先直接对浮点数强制类型转换,即可得到整数部分,再用浮点数减整数部分,即可得到小数部分)。
2.对于整数部分,采用的是“除2法”(不知道是不是这个名字……)。
即,每次将该数除以2,得到的余数作为该位的二进制数,商作为下一次的除数,依此类推,直到商为1或0为止。
3.对于小数部分,采用的是“乘2法”(依然不知道是不是这个名字)。
即,每次将小数部分乘2,得到的整数部分即为该位的二进制数,小数部分为下一次的乘数。
依此类推,这样做下去是一个无限不循环的小数,所以一般会要求二进制数中小数的精度,本题目要求的是11位。
4.在实际程序设计过程中,我发现了这样一个问题,当小数部分二进制码采用浮点型数据时,单独输出准确无误,但与整形的整数部分二进制码结合在一起后,最后3位总是不准确的,怀疑是在相加的过程中产生了“大数吃小数”的问题。
按照一般思维,此时应提高精度,采用long double型变量,但是我采用的编译器是采用Windows C的运行库(MS C编译器)的MinGW,其对printf函数不支持long double型。
无奈之下,我只能把小数部分存为一个11位长的数组,再对其输出。
[流程]:[程序]:/********************************************************************/* this is a program to transform decimal nubers to binary nubers./* Huang Bohao/* 2013.3.1/*2013.3.8:/*将小数部分用数组形式存储,避免了整数部分与小数部分相加而出现的/*大数吃小数的情况********************************************************************/#include <stdio.h>int Integer2Binary(int integer);//将十进制整数转换为二进制数的函数void Fraction2Binary(double fraction, int BinaryFraction[11]); //将十进制小数转化为二进制数的函数void main(){int i,integer,BinaryInt,BinaryFraction[11];//待转化数据的整数部分以及转化完成的二进制数float x,fraction;//待转化数据和带转化数据的小数部分printf("please input the decimal number you want to transform\n");scanf("%f",&x);integer = (int)x;fraction = x - integer;BinaryInt = Integer2Binary(integer);Fraction2Binary(fraction,BinaryFraction);printf("the binary number of integer part is %d\n",BinaryInt);printf("the binary number of fraction part is ");for(i = 0; i < 11; i++)printf("%d",BinaryFraction[i]);printf("\n");printf("the binary number is %d.",BinaryInt);for(i = 0; i < 11; i++)printf("%d",BinaryFraction[i]);printf("\n");}/******************************************************************** /* function name: Integer2Binary/* input parameter: int integer (integer waiting to be transformed)/* output parameter: int output (transformed integer)********************************************************************/ int Integer2Binary(int integer){int B,Y,output,flag;//B被除数,Y为余数,output为输出数据,flag为位置标记位B = integer;flag = 1;output = 0;while(1){Y = B%2;//求得余数B = B/2;//求得下一次的被除数output += Y*flag;flag *= 10;if(B == 0)break;}return(output);}/********************************************************************/* function name: Fraction2Binary/* input parameter: double fraction (fraction waiting to be transformed), int BinaryFraction[11]/* output parameter: float output (transformed integer)********************************************************************/void Fraction2Binary(double fraction, int BinaryFraction[11]){int i,C;//C为乘数double output = 0;double flag = 0.1;for(i = 0; i < 11; i++){C = (fraction*2) / 1;//求得乘数fraction = (fraction*2) - (int)(fraction*2); //下一次计算的乘数BinaryFraction[i] = C;}}[运行结果]:输入十进制数13.76输入十进制数123.456。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字逻辑电路总体方案设计的基本方法如下: 1)根据总的功能和技术要求,把复杂的逻辑系统分解成若干 个单元系统,单元的数目不宜太多,每个单元也不能太复杂, 以方便检修。 2)每个单元电路由标准集成电路来组成,选择合适的集成电 路及器件,构成单元电路。 3)考虑各个单元电路间的连接,所有单元电路在时序上应协调 一致,满足工作要求,相互间电气特性应匹配,保证电路能正 常、协调工作。
6.5.1五路呼叫器的设计 1.设计任务与要求 设计一个五路呼叫器,具体设计要求如下: 1)五个按键模拟五个呼叫源。 2)当有一个按键按下时,由数码管显示呼叫源号码。 3)当有多个呼叫同时发生时,用指示灯指明多个呼叫源 在同时呼叫,并按优先级顺序由数码管显示多个呼叫源号 码。1号呼叫源优先级最高,按颗序5号呼叫源优先级最 低。 4)在数字电路实验箱上验证结果。
6.4 数字电压表的设计
6.4.1设计内容与要求 1)设计数字电压表电路;要求直流电压测量范围:0V~1.999V, 0V~19.99V, 0V~199.9V,0V~1999V; 2)画出数字电压表电路原理图;设计制作数字电压表电路的PCB 板; 3)组装调试3位数字电压表。
6.5设计参考课题
红外线数字转速表是一种代替机械转速表、 用来测量转动速率的计量仪表。 1.任务与要求 1)设计4位数字显示的红外线数字转速表。转 速表探头用红外线发光管,测速范围为 2)发射的红外线用一定的频率脉冲调制,接 收的调制脉冲通过解调电路得到被测转动体的转 速脉冲。
第6章 数字逻辑电路设计课题
数字逻辑电路的设计包括两个方面:基本逻辑功能电路设计 和逻辑电路系统设计。关于基本逻辑功能电路设计一般在《数字 电路技术基础》教材中均有较详细的介绍,这里主要介绍数字逻 辑电路系统的设计,即根据设计的要求和指标,将基本逻辑电路 组合成逻辑电路系统。 数字逻辑电路通常由输入电路、控制运算电路、输出电路、电 源电路四部分组成,如图6-1所示。
6.1 数字钟兼钟控定时器的设计
数字钟是采用数字电路实现时、分、秒数字显示的 计时装置。由于数字集成电路的发展和石英晶体振 荡器的使用,使得数字钟的精度、稳定性远远超过 了机械钟表。
1)能显示时间,包括AM、PM、小时(h)、分钟 (min)(12小时制)及秒。 2)具有整点报时功能,白天整点报时,整点报时声为歌 曲或音乐,夜间不报时。 3)具有双定时功能:在24h内任意时间开启、任意时间 关闭家用电器的电源。 4)具有闹钟功能。 5)具有59min内任意时间倒计时定时功能。 6)具有5个功能键:调小时、调分钟、调开启时间、调 关闭时间、调倒计时时间。
6.5.4 简易数字频率计的设计
1.任务与要求 设计一个具有如下功能的简易数字频率计,具体要求如 下: 1)被测信号的频率范围为1~1999Hz,用4位数码管显 示数据。 2)测量结果直接用十进制数值显示。 3)具有超量程警告。可以用发光二极管显示,也可以用 扬声器报警。
6.5.5 红外线数字转速表的设计
6.5.6 数字编码无线遥控器的设计
1.任务与要求 1)设计一个4路数字编码的无线遥控设备,用以控 制家用电器或照明灯电源的通断。 2)遥控设备的发射接收部分采用大规模集成电路 HT-l2E/HT-l2D实现。
6.5.7双路防盗报警器的设计
1.设计任务与要求 1)设计一个双路防盗报警器,当常闭开关SA1(实际 中是安装在窗与窗框、门与门框的紧贴面上的导电铜片) 发生盗情打开时,延时1-35s报警电路动作。当常开开 关SA2发生盗情而闭合时,应立即报警。 2)发生报警时,有两个警灯交替闪亮,周期为1~2s 并有警车的报警声发生,频率为f=1.5-1.8kHz。
6.2 数显抢答器的设计
6.2.1 设计任务和要求 1)有4路抢答。 2)数字显示抢答组别。
3)由主持人复位后方可抢答。 4)声音提示已有人抢答。
6.3 双色三循环方式彩灯控制器的设计
循环彩灯的电路很多,循环方式更是五花八门,而且有 专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都 是用数字电路来实现的。例如,用中规模集成电路实现的 彩灯控制电路主要用计数器、译码器、分配器和移位寄存 器等集成。本节介绍的双色循环彩灯控制器就是用计数器 和译码器实现的,其特点是用双色发光二极管,能发红色 和绿色两色光。
6.3.1 设计任务和要求
1)控制器有8路输出,每路用双色发光二极管指示。 2)控制器有3种循环方式: 方式A:单绿左移→单绿右移→单红左移→单红右移; 方式B:单绿左移→全熄延时伴声音; 方式C:单红右移→四灯红闪、四灯绿闪延时。 3)由单刀三掷开关控制3种方式,每种方式用单色发光二极 管指示。 4)相邻两灯点亮时间约在0.2~0.6s间可调,延时时间约在 1~6s间可调。 5)要求用10V电源设计。
6.5.8洗衣机控制器的设计
1.设计任务与要求 用中小规模集成芯片设计制作一个电子定时器, 来控制洗衣机的电机作如下运转:
4)能实现特殊状态的功能显示,设S为特殊状态的传感器信号,当 S=1时,进入特殊状态。当S=0时,退出特殊状态。按S后,能实 现特殊状态功能: a.显示器闪烁; b.计数器停止计数并保持在原来的数据; c.东西、南北路口均显示红灯状态; d.特殊状态结束后,能继续对时间进行计数。 5)能实现控制器总清零功能 按下R后,系统实现总清零,计数器 由初始状态开始计数,对应状态的指示灯亮。
6.5.3 步进电动机控制器的设计
步进电动机接受步进脉冲控制而一步一步地转动, 可以带动机械装置实现精密的角位移和直线位移, 被广泛应用于各种自动控制系统中。步进电机的工 作方式主要取决于输出步进脉冲的控制器电路。
1.任务与要求 1)用边沿D触发器或主从JK触发器设计一个兼有三相六拍、 三相三拍两种工作方式的脉冲分配器,能控制步进电机作正向 和反向运转。 2) 设计驱动步进电机工作的脉冲放大电路,使之能驱动 一个相电压为24V、相电流为0.2A的步进电机工作。 3)设计步数显示和步数控制电路,能控制电动机运转到预置 的步数时即停止转动,或运转到预定圈数时停转。 4)设计电路工作的时钟信号,频率为10Hz~10kHz且连 续可调。 4)输出发光二极管显示,使用按键开关做控制信号。
6.5.2交通灯控制器的设计
1.任务与要求 设计一个十字路口的红、绿、黄三色信号交通灯控制电路,具 体要求如下: 1)用红、绿、黄三色发光二极管作信号灯 主干道为东西向, 有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个 灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的 车辆有时间停靠到禁行线之外。 2)由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时 间较长。当主干道允许 通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时, 主干道亮红灯,两者交替重复。主干道每次放行50s,支干道 每次放行30s。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5s的黄灯 作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。