eda教学7

合集下载

EDA课程设计_7

EDA课程设计_7

乒乓球游戏电路设计一、乒乓球设计方案(1)我们设计的乒乓球游戏是以一排发光二极管交替发光指示乒乓球的行进路径, 其行进的速度可由输入的时钟信号控制。

乒乓球比赛是甲乙双方的比赛, 所以用两个按键模拟左右两个球拍;我们还要设计一个乒乓球控制模块, 即当发光二极管到最后一个的瞬间, 若检测到对应的表示球拍的键的信号, 立即使“球”反向运行, 如果此瞬间没有接到按键信号, 将给出失败鸣叫, 同时为对方记1分, 并将记分显示出来, 然后由对方重新发球以此类推;还要设计失球计数器的高低位计数模块;乒乓球行进方向控制模块, 主要由发球键控制;还要有一个失球提示发生模块。

(2)要知道乒乓球的游戏规则, 以多少分为一局, 谁先得到一定的分数谁就赢一局, 以此来判断输赢。

(3)用数码管显示双方的得分, 还要设计一个十进制计数器, 来显示分数。

(4)在乒乓球设计方案时还要考虑球速的问题。

二、乒乓球游戏电路的设计程序1.LIBRARY IEEE;--乒乓球游戏顶层文件use ieee.std_logic_1164.all;entity TENNIS isport(bain,bbin,clr,clk,souclk:in std_logic;ballout:out std_logic_vector(7 downto 0);countah,countal,countbh,countbl:out std_logic_vector(3 downto 0);lamp,speaker:out std_logic);end entity TENNIS;architecture ful of TENNIS iscomponent soundport(clk,sig,en:in std_logic;sout:out std_logic);end component;component ballctrlport(clr,bain,bbin,serclka,serclkb,clk:in std_logic; bdout,serve,serclk,ballclr,ballen:out std_logic);end component;component ballport(clk,clr,way,en:in std_logic;ballout:out std_logic_vector(7 downto 0));end component;component boardport(ball,net,bclk,serve:in std_logic;couclk,serclk:out std_logic);end component;component cou10port(clk,clr:in std_logic;cout:out std_logic;qout:out std_logic_vector(3 downto 0));end component;component cou4port(clk,clr:in std_logic;cout:out std_logic;qout:out std_logic_vector(3 downto 0));end component;component mwayport(servea,serveb:in std_logic;way:out std_logic);end component;signalnet,couclkah,couclkal,couclkbh,couclkbl,cah,cbh:std_logic; signalserve,serclka,serclkb,serclk,ballclr,bdout,way,ballen:std_lo gic;signal bbll:std_logic_vector(7 downto 0);beginnet<=bbll(4);ballout<=bbll;lamp<=clk;uah:cou4 port map(couclkah,clr,cah,countah);ual:cou10 port map(couclkal,clr,couclkah,countal);ubh:cou4 port map(couclkbh,clr,cbh,countbh);ubl:cou10 port map(couclkbl,clr,couclkbh,countbl); ubda:board port map(bbll(0),net,bain,serve,couclkal,serclka);ubdb:board port map(bbll(7),net,bbin,serve,couclkbl,serclkb);ucpu:ballctrlportmap(clr,bain,bbin,serclka,serclkb,clk,bdout,serve,serclk, ballclr,ballen);uway:mway port map(serclka,serclkb,way);uball:ball port map(clk,ballclr,way,ballen,bbll);usound:sound port map(souclk,ballen,bdout,speaker);end ful;2.library ieee;use ieee.std_logic_1164.all;entity sound isport(clk:in std_logic; --发声时钟sig:in std_logic; --正确接球信号en:in std_logic; --球拍接球脉冲sout:out std_logic); --提示声输出, 接小喇叭end entity sound;architecture ful of sound isbeginsout<=clk and (not sig) and en;--球拍接球, 没接到时, 发提示声end ful;3.library ieee; --总控制模块use ieee.std_logic_1164.all;entity ballctrlisport(clr:in std_logic; --系统复位bain:in std_logic; --左球拍bbin:in std_logic; --右球拍seclka:in std_logic; --左拍准确接球或发球serclkb:in std_logic; --右拍准确接球或发球clk:in std_logic; --乒乓球灯移动时钟bdout:out std_logic; --球拍接球脉冲serve:out std_logic; --发球状态信号serclk:out std_logic; --球拍正确接球信号ballclr:out std_logic; --乒乓球灯清零信号ballen:out std_logic); --乒乓球灯使能end entity ballctrl;architecture ful of ballctrl issignal bd:std_logic;signal ser:std_logic;beginbd<=bain or bbin;ser<=serclka or serclkb;serclk<=ser;--球拍正确接球信号bdout<=bd; --球拍接球脉冲process(clr,clk,bd)beginif(clr='1')then --系统复位serve<='1'; --系统处在职发球状态ballclr<='1'; --乒乓球灯清零elseif(bd='1')then --系统正常--球拍发球或接球时ballclr<='1'; --乒乓球灯清零if(ser='1')then --球拍发球或准确接球ballen<='1'; --乒乓球灯使能允许serve<='0'; --系统处在接球状态else ballen<='0';serve<='1'; --系统处在发球状态end if;else ballclr<='0';--没发球或接球时乒乓球灯不清零end if;end if;end process;end ful;4.--乒乓球灯模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ball isport(clk:in std_logic; --乒乓球灯前进时钟clr:in std_logic; --乒乓球灯清零way:in std_logic; --乒乓球灯前进方向en:in std_logic; --乒乓球灯使能ballout:out std_logic_vector(7 downto 0));--乒乓球灯end entity ball;architecture ful of ball issignal lamp:std_logic_vector(9 downto 0);beginprocess(clk,clr,en)beginif(clr='1') then lamp<="1000000001";--清零elsif en='0' thenelsif (clk'event and clk='1')then--使能允许, 乒乓球灯前进时钟上升沿if(way='1') then lamp(9 downto 1)<=lamp(8 downto 0);lamp(0)<='0';--乒乓球灯右移else lamp(8 downto 0)<=lamp(9 downto 1); lamp(9)<='0';--乒乓球灯左移end if;end if;ballout<=lamp(8 downto 1);end process;end ful;5.--乒乓拍模块library ieee;use ieee.std_logic_1164.all;entity board isport(ball:in std_logic; --接球点, 也就是乒乓球灯的末端net:in std_logic; --乒乓球灯的中点, 乒乓球过中点时, counclk、serclk复位bclk:in std_logic; --球拍接球信号serve:in std_logic; --发球信号couclk:out std_logic;serclk:out std_logic);end entity board;architecture ful of board isbeginprocess(bclk,net)beginif(net='1')then serclk<='0';couclk<='0';--乒乓球过中点时, counclk、serclk复位elsif(bclk'event and bclk='1')then—球拍接球时if(serve='1')then serclk<='1';--系统处于发球状态时—发球成功else—系统处于接球状态if(ball='1')then serclk<='1';--乒乓球刚落在接球点上, 接球成功else serclk<='0';couclk<='1';end if;end if;end if;end process;end ful;6.--十进制计数器用来做失球低位计数library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;serveb:in std_logic;way:out std_logic);end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';elsif(serveb='1')then way<='0';end if;end process;end ful;7、--四进制计数器用来做失球高位计数library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;serveb:in std_logic;way:out std_logic);end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';elsif(serveb='1')then way<='0';end if;end process;end ful;8、--乒乓球前进方向产生模块library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;--左选手发球信号serveb:in std_logic;--右选手发球信号way:out std_logic);--乒乓球灯前进方向信号end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';--左选手发球方向向右elsif(serveb='1')then way<='0';--右选手发球方向向左end if;end process;end ful;三、乒乓球游戏程序的仿真波形仿真波形图四、硬件测试方法然后我们就要测试硬件, 下载文件。

eda教程

eda教程

eda教程EDA(探索性数据分析)是一种用于分析和理解数据的方法,它可以帮助我们发现数据集中的模式、异常和隐藏信息。

本文将为您介绍EDA的基本步骤和常用技术,并提供一些实用的Python代码示例。

EDA的基本步骤包括:数据收集、数据清洗、数据可视化和数据分析。

数据收集:首先,您需要收集与您感兴趣的主题相关的数据。

数据可以通过各种途径获取,例如从数据库、网站或API中获取。

数据清洗:在进行数据分析之前,您需要对数据进行清洗。

这包括处理缺失值、异常值、重复值和不一致的数据。

您可以使用Python的pandas库来快速处理这些任务。

数据可视化:数据可视化是EDA中重要的一环,它帮助我们更好地理解数据。

您可以使用Python中的matplotlib和seaborn库来创建各种图表,例如柱状图、散点图、箱线图和饼图,以展示数据的分布情况、相关性和异常值等。

数据分析:在数据清洗和可视化之后,您可以使用各种统计方法和机器学习算法对数据进行分析。

例如,您可以计算数据的统计指标(如均值、中位数和标准差),并使用t检验或方差分析等方法比较不同组之间的差异。

以下是一些常用的EDA技术和示例代码:1. 描述性统计分析:描述性统计分析可以帮助我们了解数据的基本特征。

例如,您可以计算数据的均值、中位数、标准差和四分位数,并使用Python的pandas库来实现。

示例代码如下:```pythonimport pandas as pd# 读取数据data = pd.read_csv('data.csv')# 计算均值mean = data.mean()# 计算中位数median = data.median()# 计算标准差std = data.std()# 计算四分位数q1 = data.quantile(0.25)q3 = data.quantile(0.75)```2. 箱线图:箱线图可以帮助我们了解数据的分布情况和异常值。

EDA课件EDA设计流程

EDA课件EDA设计流程

其他HDL仿真器
2.3.5 下载器
2.4 QuartusII 简介
图形或 HDL编辑
设计 输入
Analysis & Synthesis (分析与综合)
综合或 编译
Filter (适配器)
Assembler (编程文件汇编)
适配器件
Timing Analyzer (时序分析器)
仿真
图1-9 Quartus II设计流程
2.1 设计流程
图2-1 应用于FPGA/CPLD的EDA开发流程
2.1 设计流程
2.1.1 设计输入(原理图/HDL文本编辑) 1. 图形输入
状态图输入
波形图输入
原理图输入
2. HDL文本输入
在EDA软件的图形编辑界面上绘 制能完成特定功能的电路原理图
将使用了某种硬件描述语言(HDL)的电路设计文本, 如VHDL或Verilog的源程序,进行编辑输入。
2.3.2 HDL综合器
FPGA Compiler II、DC-FPGA综合器、 Synplify Pro综合器、LeonardoSpectrum综合 器和Precision RTL Synthesis综合器
2.3.3 仿真器 2.3.4 适配器
VHDL仿真器 Verilog仿真器
Mixed HDL仿真器
数字 ASIC
数模
混合 模拟 ASIC ASIC
图2-2 ASIC分类
2.2 ASIC及其设计流程
2.2.1 ASIC设计方法
ASIC 设计方法
全定制法
半定制法
门阵列法 标准单元法 可编程逻辑器件法
图2-3 A设计的流程
系统规范说明
clk resetL

集成电路设计流程中的EDA工具使用教程

集成电路设计流程中的EDA工具使用教程

集成电路设计流程中的EDA工具使用教程综合电路设计是电子工程师在集成电路设计中经常进行的一项重要任务。

通过使用EDA(电子设计自动化)工具,设计师能够更高效地完成设计流程。

本文将介绍集成电路设计流程中常用的EDA工具及其使用方法。

一、设计综合工具设计综合是集成电路设计流程中的第一步,它将高级硬件描述语言(如VHDL或Verilog)转换为逻辑网表。

常用的设计综合工具包括Synopsys Design Compiler、Cadence Genus等。

设计综合工具能够根据设计规范和约束,实现功能实现和性能优化。

在使用设计综合工具时,首先需要准备好设计规范和约束文件,以确保综合结果能够满足设计要求。

然后,通过命令行或图形界面界面加载设计文件,设置综合选项并运行综合流程。

二、逻辑综合工具逻辑综合是将逻辑网表转换为标准单元库的过程,并执行功耗优化和时序约束等操作。

常用的逻辑综合工具包括Synopsys Design Compiler、Cadence Genus等。

在使用逻辑综合工具时,首先需要准备好逻辑网表文件和约束文件。

然后,通过命令行或图形界面界面加载设计和约束文件,设置综合选项,并运行逻辑综合流程。

逻辑综合工具还可以生成时序分析所需的约束文件,并进行时序分析。

三、布局布线工具布局布线是在物理空间中放置和布线所有电路元件的过程,以满足电路设计的约束条件。

布局布线工具能够根据设计规范和约束,生成良好的物理布局和可靠的布线。

常用的布局布线工具包括Cadence Innovus、SynopsysIC Compiler等。

在使用布局布线工具时,首先需要准备好物理约束文件和逻辑网表。

然后,通过命令行或图形界面界面加载设计和约束文件,设置布局布线选项,并运行布局布线流程。

布局布线工具还可以执行时序优化操作,以满足时序约束。

四、仿真工具仿真是验证电路设计功能和性能的关键步骤。

通过使用仿真工具,设计师可以在真实环境中模拟电路行为,并对其进行调试和优化。

EDA第七章_宏功能模块与IP应用

EDA第七章_宏功能模块与IP应用

下面以确定64点正弦波在ROM内的波形数据文件 为例分别说明。
1.建立.mif格式文件
使用工具:Quartus II 的ROM数据文件编辑窗口或其他编辑器
【例7-1】用Quartus II 的ROM数据文件编辑窗口产生.mif格式文件 方法:File菜单NewOther files页Memory Initialization File项 选择ROM字数和字长,再填写好下列表格并保存即得。
7.2 LPM模块应用实例
正弦信号发生器
一、定制LPM_ROM初始化数据文件
Quartus II 能接受的LPM_ROM中的初始化数据 文件的格式有2种:
Memory Initialization File(.mif)格式 Hexadecimal(Intel-Format) File(.hex)格式
使用Megafunction将大大的减少设计风险及缩短开发周期。
Megafunction可以使设计师将更多时间和精力放在改善及提高系统级 的产品上,而不要重新开发现成的Megafunction。
IP(知识产权)
一个好的IP Core要具备可靠、可重用、 可配置、可测试的特性,还应有详细 准确的说明文档 最成功的IP提供商之一: 虽然只有LPM、部分器件专有的 Megafunction是免费的,但是这些免 费的模块也足够满足大多数设计的需 要(LPM库只有25个基本模块就号称 可以完成所有的设计)。 评估付费Megafunction: 使用开放式内核(OpenCore)技术 , 其设计流程如右图所示。 如何用好Altera的Megafunction:认真 阅读文档并执行必要的配置工作
硬件评估
购买
当您对 IP 内核完全满意,并准备将设计投产时,可以购买许可,生成产品 器件编程文件。Altera MegaCore®许可能够永久使用,支持多种工程,包括 一年更新和支持。 对 Altera 所有 IP 提供浮动和节点锁定的许可。可提供多种不同许可期限、 条件和价格模型的第三方 IP 内核。请直接联系 IP 合作伙伴,了解详细信息。

eda技术实用教程

eda技术实用教程

eda技术实用教程Part 1 EDA技术实用教程数据预处理一直是数据科学家中重要的任务之一。

因此,掌握数据预处理技能是必要的,而EDA(探索性数据分析)要比其他技术更为必要。

在这篇文章中,我们将介绍EDA的概念以及如何运用它来处理数据集。

我们还将介绍一些流行的EDA工具,以及如何在Python中使用这些工具。

探索性数据分析EDA是指探索性数据分析,是指分析数据以了解其特性的过程。

它有助于数据科学家洞察数据的基本特征,并为进一步的预测或分类建模做好准备。

EDA可以发现数据集中的异常值、缺失值或异常分布。

EDA工具大部分是可视化工具,能够帮助数据科学家更好地理解数据集。

EDA的主要目标如下:1.理解数据集的基本特征2.识别异常值和缺失值3.确定不同特征之间的关系4.绘制可视化图表,揭示数据分布模式EDA的实践在本节中,我们将介绍如何使用Python实施EDA技术。

安装Python和Jupyter Notebook首先,您应该安装Python和Jupyter Notebook。

这些是数据科学家日常工作所需的。

安装pandas和matplotlib在开始EDA之前,您需要确保安装了pandas和matplotlib这两个库。

它们是Python中的重要数据科学库,可以帮助您方便地读取和可视化数据。

pip install pandaspip install matplotlib读取数据集下面是一个读取数据集的例子。

import pandas as pddata=pd.read_csv("data.csv")数据集可视化在这里,我们将介绍如何使用Python中的matplotlib 库来可视化数据集。

散点图散点图是一个可视化数据关系的好方法。

在Python中,可以使用以下代码为两个变量(x和y)绘制散点图。

import matplotlib.pyplot as pltx=data['x']y=data['y']plt.scatter(x,y)plt.show()我们可以看到,在数据集中,x和y有一个很强的正相关关系。

第七章_状态机设计1

第七章_状态机设计1

TYPE my_logic IS ( '1' ,'Z' ,'U' ,'0' ) ; SIGNAL s1 : my_logic ; s1 <= 'Z' ;
KX
7.1 一般有限状态机的设计 7.1.1 用户自定义数据类型定义语句 子类型SUBTYPE的语句格式如下: 的语句格式如下: 子类型 的语句格式如下 SUBTYPE
n e x t_ s ta te
图7-1 一般状态机结构框图工作示意图
【例7-1】 】
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY s_machine IS PORT ( clk,reset : IN STD_LOGIC; state_inputs : IN STD_LOGIC_VECTOR (0 TO 1); comb_outputs : OUT INTEGER RANGE 0 TO 15 ); END s_machine; ARCHITECTURE behv OF s_machine IS TYPE FSM_ST IS (s0, s1, s2, s3); SIGNAL current_state, next_state: FSM_ST; BEGIN REG: PROCESS (reset,clk) BEGIN IF reset = '1' THEN current_state <= s0; ELSIF clk='1' AND clk'EVENT THEN current_state <= next_state; END IF; END PROCESS;
PROCESS REG 时序进程 current_state next_state PROCESS COM 组合进程 LOCK

EDA技术教案范文

EDA技术教案范文

EDA技术教案范文一、教学目标:1.了解探索性数据分析(EDA)的概念和目的。

2.掌握EDA的基本步骤和常用的可视化工具。

3.能够运用EDA技术对数据进行初步探索和分析。

4.培养学生的数据分析能力和问题解决能力。

二、教学重点和难点:1.概念的理解和掌握。

2.运用工具进行数据可视化和初步分析。

三、教学内容和学时安排:1.引入(10分钟)介绍数据分析的重要性和应用领域。

引入EDA的概念和目的。

2.EDA的基本步骤和工具(20分钟)讲解EDA的基本步骤,包括数据收集、数据清洗、数据探索和数据分析。

介绍常用的EDA工具,如Python的Pandas和Matplotlib库。

3.数据收集和清洗(30分钟)讲解数据收集和清洗的方法,包括数据源的选择、数据导入和格式转换、缺失值和异常值的处理等。

4.数据可视化(30分钟)介绍常用的数据可视化方法和工具,如直方图、散点图和箱线图等。

示范使用Python的Matplotlib库进行数据可视化。

5.数据探索和分析(30分钟)讲解数据探索和分析的方法,包括描述性统计、关联分析、聚类分析等。

展示实例并让学生尝试进行数据探索和分析。

6.案例分析和讨论(20分钟)以一个真实的数据集为案例,让学生运用所学的EDA技术进行分析,并进行讨论和总结。

四、教学方法:1.讲授与示范相结合的教学方法。

2.案例分析和讨论的教学方法。

3.实践操作和演练的教学方法。

五、教学资源和评价方式:1.教学资源:计算机、数据集和相关软件工具。

2.评价方式:课堂表现、课堂作业和小组讨论。

六、教学反思:探索性数据分析(EDA)是数据科学中重要的一环,它能够帮助我们从大量的数据中发现有用的模式和规律。

本教案通过结合理论讲解和实践操作,旨在帮助学生了解和掌握EDA的基本概念、步骤和工具。

通过实际案例分析和讨论,培养学生的数据分析能力和问题解决能力。

EDA技术实用教程

EDA技术实用教程

EDA技术实用教程EDA是电子设计自动化(Electronic Design Automation)的缩写,指的是利用计算机技术和工具自动辅助设计和验证电子系统的过程。

EDA 技术的应用广泛,包括芯片设计、电路设计、电子系统设计等。

本文将介绍EDA技术的基本概念和常用工具,以及它们在电子系统设计中的应用。

1.EDA技术概述EDA技术是利用计算机技术和工具实现电子系统设计自动化的一系列技术方法。

它能够大大提高设计效率和设计质量,缩短设计周期,降低成本。

EDA技术包括模拟电路设计、数字电路设计、封装设计、布线设计等多个方面。

2.EDA常用工具常用的EDA工具包括电路仿真工具、逻辑综合工具、版图设计工具、时序分析工具、布局布线工具等。

这些工具在EDA技术中发挥着重要的作用,帮助设计人员完成不同层次的设计任务。

3.电路仿真工具电路仿真是EDA技术中最基础也是最重要的环节之一、它通过建立模型,对电路进行数学分析和计算,模拟电路的工作状态和性能。

常用的电路仿真工具有SPICE、SPECTRE等。

电路仿真工具能够帮助设计人员在设计之前评估电路的性能,并发现潜在的问题,优化设计。

4.逻辑综合工具5.版图设计工具版图设计是将逻辑电路网表进行物理布局和布线的过程。

版图设计工具可以根据约束条件自动进行版图布局和布线,生成满足电路性能和约束条件的版图。

常用的版图设计工具有ICC、Innovus等。

6.时序分析工具7.布局布线工具布局布线是指将版图中的电路元件进行布置和互连的过程。

布局布线工具可以根据电路性能和约束条件进行自动布局和布线,生成满足性能和约束的物理布局和互连。

常用的布局布线工具有Olympus、Innovus等。

8.EDA技术在电子系统设计中的应用EDA技术在电子系统设计中有着广泛的应用。

它可以帮助设计人员设计和验证复杂的电路和系统,提高设计效率和设计质量。

在芯片设计中,EDA技术可以辅助完成电路设计、逻辑综合、版图设计、布局布线等任务。

EDA技术实用教程

EDA技术实用教程

EDA技术实用教程EDA(Exploratory Data Analysis)技术是数据科学中的一项重要技术,用于探索数据集的特征、关系、异常值等信息。

对于数据分析师和数据科学家来说,熟练掌握EDA技术是非常重要的。

本文将介绍EDA技术的基本概念、常用方法和实践步骤。

1.数据可视化:可视化是EDA技术的重要手段,可以通过绘制直方图、箱线图、散点图等来直观地展示数据的分布、异常值和关系。

例如,通过绘制直方图可以了解数据的分布情况,通过绘制散点图可以观察两个变量之间的关联。

2.描述统计分析:描述统计分析是对数据集进行统计摘要的方法,如计算平均值、中位数、方差、最大值、最小值等。

这些统计值可以帮助我们了解数据的中心趋势、变异程度等。

3.数据清洗:数据清洗是对数据集进行预处理的重要步骤,包括去除缺失值、异常值的处理,以及数据类型的转换等。

这样可以确保数据的质量和一致性。

4.相关性分析:相关性分析是研究两个或多个变量之间关系的方法。

常用的方法有计算皮尔逊相关系数、绘制相关系数矩阵等。

通过相关性分析,可以了解不同变量之间的线性关系。

1. 数据导入:将要进行分析的数据集导入到编程环境中,如Python的Pandas库中。

2.数据预览:查看数据集的前几行,了解数据包含的列和行数。

3.缺失值处理:检查是否有缺失值,并进行处理,可以选择填充缺失值或删除缺失值所在的行。

4. 数据可视化:使用matplotlib、seaborn等库绘制各种图形,如直方图、箱线图、散点图等,以展示数据的分布、异常值和关系。

5.描述统计分析:计算数据集的统计指标,例如平均值、中位数、方差等,以了解数据的中心趋势和变异程度。

6.相关性分析:计算变量之间的相关系数,以判断变量之间的关联。

7.解读结果:根据可视化图形和统计分析的结果,进行解读,得出结论并提取有用的数据信息。

8.数据清洗:根据对数据的初步了解,对数据进行清洗和转换,确保数据质量和一致性。

eda 使用方法

eda 使用方法

eda 使用方法
EDA(Electronic Design Automation)是电子设计自动化的缩写,它是
一套用于设计、模拟、验证和实现电子系统的工具和方法。

EDA的使用方
法包括以下几个步骤:
1. 设计输入:使用EDA工具将设计意图转化为可以用于后续步骤的格式。

这通常涉及使用硬件描述语言(如VHDL或Verilog)或高级综合工具(如
C/C++)来描述设计的结构和行为。

2. 仿真和验证:在将设计转换为实际硬件之前,使用仿真工具对设计进行功能和时序验证。

这有助于在早期阶段发现和修复潜在的问题,并减少后续步骤中的风险。

3. 综合和优化:将仿真验证通过的设计转换为适合实际硬件实现的网表文件。

这一步涉及将逻辑门级描述转换为适合FPGA或ASIC实现的电路结构。

综合过程中可能需要进行优化以提高性能、降低功耗或减小面积。

4. 布图和布局:使用布局编辑器将综合后的网表文件映射到目标芯片的物理几何结构上。

这一步包括元件的摆放、引脚分配和布线规划等,旨在确保信号的完整性和时序要求。

5. 布线:根据布局规划,在目标芯片上进行实际的物理布线。

这一步涉及到信号线的连接、电源分配和物理约束满足等方面。

6. 导出和实现:将布线结果导出为可以用于实际制造的版图文件。

这一步通常涉及将版图数据提交给代工厂进行后续制程和封装。

7. 测试和验证:在实际制造完成后,对完成的芯片进行测试和验证,以确保其功能和性能符合设计要求。

以上是EDA的基本使用方法,具体步骤可能会因不同的EDA工具和设计需求而有所差异。

eda技术实用教程

eda技术实用教程

eda技术实用教程EDA(探索性数据分析)是一种重要的数据处理和分析方法,它可以帮助我们更好地理解数据并从中获得有价值的信息。

在这篇文章中,我们将介绍EDA的基本概念、常用技术和实用教程,帮助读者了解和应用EDA技术。

一、EDA的基本概念EDA是一种统计学方法,它通过图形和统计模型来分析和解释数据。

EDA的目标是发现数据中的模式、异常值、关联性和趋势,以及验证以前的假设。

它使得分析师能够在进一步建立预测模型或进行深入研究之前对数据集有一个全面的理解。

EDA的主要步骤包括:数据收集、数据清洗、数据可视化、数据摘要和数据分析。

数据收集是指从各种来源收集数据,并存储在合适的数据结构中。

数据清洗是指处理数据中的缺失值、异常值和重复值,以使数据集更具可靠性和一致性。

数据可视化是指使用图表、图形和统计图来展示数据的特征和趋势。

数据摘要是指通过计算数据的统计特征(如均值、中位数、标准差等)来总结数据的主要特征。

数据分析是指使用相关分析、聚类分析、回归分析等分析方法来探索数据集中的模式和关系。

二、常用的EDA技术1. 描述统计分析:描述统计分析是EDA的核心技术之一,它通过计算统计指标(如均值、中位数、标准差等)来解释和总结数据集的特征。

常用的描述统计分析方法包括:直方图、盒图、散点图等。

2. 相关分析:相关分析用于评估两个或多个变量之间的关联性。

常用的相关分析方法包括:皮尔森相关系数、斯皮尔曼相关系数等。

通过相关分析,我们可以了解变量之间的线性或非线性关系,并可以根据这些关系进行进一步的预测或解释。

3. 聚类分析:聚类分析是一种用于发现数据中的不同群组或类别的方法。

通过聚类分析,我们可以将相似的数据点分到同一类别中,从而发现数据集中的模式和结构。

常用的聚类分析方法包括:K均值聚类、层次聚类等。

4. 回归分析:回归分析用于建立变量之间的数学模型,并通过这些模型进行预测和解释。

通过回归分析,我们可以理解自变量对因变量的影响程度,并根据这些影响进行预测和决策。

《EDA技术》课程标准

《EDA技术》课程标准

《EDA技术》课程标准(试行)一、课程性质本课程是中等职业学校电子电工类电子技术应用专业必修的一门专业核心课程,是在《电工技术基础与技能》《电子技术基础与技能》课程基础上,开设的一门实践性较强的专业课程,其任务是让学生掌握电子设计自动化方面的基础知识和基本技能,为《表面贴装技术》《电子产品检验技术》等后续课程的学习奠定基础。

二、学时与学分72学时,4学分。

三、课程设计思路本课程按照立德树人的要求,突出核心素养、必备品质和关键能力,兼顾中高职课程衔接,高度融合电子电路原理、电子产品工艺与电子设计等知识技能的学习和职业精神的培养。

1.依据《中等职业学校电子电工类电子技术应用专业指导性人才培养方案》中确定的培养目标、综合素质、职业能力,按照知识与技能、过程与方法、情感态度与价值观三个维度,突出EDA技术应用能力的培养,结合本课程的性质特点和职业教育课程教学的最新理念,确定本课程目标。

2.根据“中等职业学校电子技术应用专业‘工作任务与职业能力’分析表”,依据课程目标和电子、电路工程技术人员职业岗位需求,围绕运用EDA技术的关键能力,反映技术进步和生产实际,体现科学性、前沿性、适用性原则,确定本课程内容。

3.本课程围绕电路原理图绘制、印制电路板制作两大工作领域,以工作任务为主线,以典型功能电路绘制为载体,以电路仿真模块为拓展,依照电路原理图设计、元器件及其封装绘制、PCB设计的工作过程设置项目和工作任务。

遵循学生认知规律和职业成长规律,序化教学内容。

四、课程目标学生通过学习本课程,具备独立运用EDA技术的能力,能进行电路原理图设计、电子产品PCB设计和制作。

1.熟悉Altium Designer软件及硬件配置,掌握电路原理图设计方法、电子产品PCB设计和制作的方法,了解电路仿真的方法。

2.能使用Altium Designer软件设计电路原理图,能绘制元器件符号。

3.能使用Altium Designer软件设计和制作电子产品PCB,能绘制元器件封装。

eda技术实用教程

eda技术实用教程

eda技术实用教程
EDA技术是一种用于可视化和探索性数据分析的工具集。


提供了一系列方法和技术,可以帮助数据科学家和分析师理解数据、发现模式和关系,并提供一些深入洞察。

EDA的目标是通过统计图表、图形和其他可视化方法来观察
和分析数据,以了解数据的特征和属性。

通过可视化数据,我们可以更好地理解数据的分布、异常值、缺失值、相关性等。

它还可以用于揭示数据之间的隐藏关系和趋势。

在EDA过程中,我们通常会进行以下步骤:
1. 数据加载:将数据导入到分析环境中,通常使用Python或
R语言。

2. 数据探索:通过概览数据来了解数据的基本属性,例如数据的维度、数据类型和特征列。

3. 数据清洗:处理缺失值、异常值和重复数据等,并进行数据转换和格式化,以便进行后续的分析。

4. 可视化分析:使用直方图、散点图、饼图等进行数据可视化,以便更好地理解数据的分布和关系。

5. 统计分析:应用统计方法和技术,如描述统计、假设检验和相关性分析等,来分析数据并得出结论。

6. 结果解读:根据可视化和统计分析的结果,解读数据,并生成对业务有益的见解和建议。

EDA技术通常是数据分析的第一步,它提供了一个全面的数
据概览,并帮助我们更好地了解数据的特征和属性。

通过深入
地理解数据,我们可以更好地为后续的建模和预测任务做准备,并为业务决策提供支持。

总结起来,EDA技术是一个重要的数据分析工具集,通过可
视化和统计分析数据,帮助我们理解数据的特点、发现模式和关系,并生成有意义的见解。

它对于数据科学家、分析师和业务决策者来说都是非常有用的。

eda课程设计完整

eda课程设计完整

eda课程设计完整一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和应用方法,培养学生进行电子设计的能力和创新意识。

知识目标:使学生了解eda的基本概念、原理和流程,掌握常用的电子设计工具和软件,了解电子设计的基本方法和步骤。

技能目标:培养学生进行电子设计的能力,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证,培养学生解决实际问题的能力。

情感态度价值观目标:培养学生对电子设计的兴趣和热情,使学生认识到电子设计在现代科技中的重要地位和作用,培养学生的创新意识和团队协作精神。

二、教学内容本课程的教学内容主要包括eda的基本概念、原理和应用方法。

1.eda的基本概念和原理:介绍eda的定义、发展和分类,讲解电子设计的基本流程和方法,使学生了解eda工具的作用和重要性。

2.eda的应用方法:讲解常用的eda工具和软件的使用方法,介绍电子设计的基本方法和步骤,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证。

3.实例分析:通过具体的实例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。

三、教学方法本课程的教学方法包括讲授法、案例分析法和实验法。

1.讲授法:通过教师的讲解,使学生了解和掌握eda的基本概念、原理和应用方法。

2.案例分析法:通过具体的案例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。

3.实验法:通过实验室的实践操作,使学生熟练地掌握eda工具的使用方法,培养学生的动手能力和创新意识。

四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验室设备。

1.教材:选用权威、实用的教材,为学生提供全面、系统的学习资源。

2.参考书:提供相关的参考书籍,丰富学生的学习资料,拓展学生的知识面。

3.多媒体资料:制作精美的多媒体课件,生动形象地展示教学内容,提高学生的学习兴趣和效果。

4.实验室设备:提供完善的实验室设备,让学生能够进行实际的操作练习,提高学生的动手能力和创新意识。

EDA的入门资料文档课件

EDA的入门资料文档课件
• ① 共享扩展项:反馈到逻辑阵列的反向乘积项。 • ② 并联扩展项:借自邻近的宏单元中的乘积项。 • 根据设计的逻辑需要,Quartus Ⅱ和MAX+PLUSⅡ能自动地优化乘
积项分配。 • 作为触发器功能,每个宏单元寄存器可以单独编程为具有可编程时
钟控制的D、T、JK或SR触发器工作方式。每个宏单元寄存器也可 以被旁路掉,以实现组合逻辑工作方式。在设计输入时,设计者指 明所需的触发器类型,然后由Quartus Ⅱ和MAX+PLUSⅡ为每一个 触发器功能选择最有效的寄存器工作方式,以使设计资源最少。 • 每一个可编程寄存器的时钟可配置成三种不同方式。 • ① 全局时钟:这种方式能实现从时钟到输出最快的性能。 • ② 带有高电平有效的时钟使能的全局时钟:这种方式为每个寄存 器提供使能信号,仍能达到全局时钟的快速时钟到输出的性能。 • ③ 乘积项时钟:在这种方式下,寄存器由来自隐埋的宏单元或I/O 引脚的信号进行时钟控制。
6016、 6010A 、 6016A 、 6024A 、 8282A 、 8282AV 、
8452A、 8636A 、 8820A、 81188A 、 81500A
速度等级
EPC: 1064、 1064V、 1213、 1441、 1、 2、 4、 8、 16
EPM : 7032、 7064、 7096、 7032S、 7064S、 7128S、 7160S、
CMOS EEPROM技术制造的。MAX 7000器件提供多达5000个可 用门和在系统可编程(ISP)功能,其引脚到引脚延时快达5ns, 计数器频率高达175.4MHz。各种速度等级的MAX 7000S、MAX 7000A/AE/B和MAX 7000E器件都遵从PCI总线标准。 • MAX 7000器件具有附加全局时钟,输出使能控制,连线资源和 快速输入寄存器及可编程的输出电压摆率控制等增强特性。MAX 7000S器件除了具备MAX 7000E的增强特性之外,还具有JTAG BST边界扫描测试,ISP在系统可编程和漏极开路输出控制等特性。

第7章 EDA实验及课程设计

第7章 EDA实验及课程设计

USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY count24 IS
PORT(en, clk: IN STD_LOGIC;
qa: out STD_LOGIC_VECTOR(3 DOWNTO 0); 数
--个位数计
begin if clk'event and clk = '1' then if en = '1' then if tma = "1001" then tma := "0000"; tmb := tmb+1; Elsif tmb = "10" and tma = "0011" then tma := "0000"; tmb := "00"; else tma := tma+1; end if; end if; end if; qa <= tma; qb <= tmb; end process;
7.1.2 MAX + plusⅡ/QuartusⅡ软件VHDL设计 实验六 VHDL软件设计 一、实验目的 1. 熟悉EDA开发平台的基本操作; 2. 掌握EDA开发工具的VHDL设计方法; 3. 掌握硬件描述语言设计的编译与验证方法。 二、实验仪器
计算机、MAX + plusⅡ或QuartusⅡ软件、EDA/SOPC实验 箱。 三、实验内容 1. 二十四进制加法计数器设计与验证。代码如下: LIBRARY IEEE;
1 XXXXXXXX 1 1 1 1 1
0 11111111 1 1 1 1 0

EDA的使用流程步骤

EDA的使用流程步骤

EDA的使用流程步骤介绍在数据分析领域,探索性数据分析(Exploratory Data Analysis,简称EDA)是一个重要的步骤。

使用EDA技术可以帮助我们了解数据集的特征和结构,揭示数据之间的关系,并提供有关数据集的初步洞见。

本文将介绍EDA的使用流程步骤,并通过列点的方式进行详细说明。

步骤一:数据导入和初步观察1.导入所需的库或模块,例如Pandas和NumPy。

2.从文件或数据库中导入数据集,使用Pandas的read_csv()或read_excel()等方法。

3.使用.head()方法查看数据集的前几行,以便获取数据的基本信息。

4.使用.info()方法查看数据集的概要信息,包括数据类型、缺失值等。

5.使用.describe()方法生成数据集的统计摘要,包括均值、标准差、最小值等。

步骤二:数据清洗和处理1.检查数据集中是否存在缺失值,使用.isnull()方法和.sum()函数来计算每列的缺失值数量。

2.如果存在缺失值,可以选择删除缺失值所在的行或列,使用.dropna()方法进行处理;或者使用均值、中值等方法填充缺失值,使用.fillna()方法进行处理。

3.检查数据集中是否存在异常值或离群点,使用箱线图(boxplot)或散点图(scatter plot)进行可视化检查,并根据需要进行处理。

4.对分类变量进行独热编码或标签编码,以便在后续分析中使用。

步骤三:数据可视化和探索1.使用Matplotlib或Seaborn等库进行数据可视化,绘制直方图、散点图、箱线图等图形,以了解数据的分布、关系和趋势。

2.使用相关系数矩阵、热力图等工具,分析变量之间的相关性。

3.根据需求,使用柱状图、饼图等图形呈现分类变量的分布情况。

4.使用交互式可视化工具如Plotly或Tableau,以便更好地探索数据集。

步骤四:特征工程1.根据领域知识、业务需求和数据集的特点,选择合适的特征进行创建或提取。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

●基于原理图的设计输入-添加总线符号
第七章
8、在工具条中,选择Add Net Name图标,在原理图编辑器 的Option标签内,选择Name the branch’s net选项,并输入需要连 接的比特端口名字,形式为:总线名字(索引号),然后将光标 移动到相对应比特端口的连接线上,此时名字就添加在连线上。 9、完成上述总线命名后,将这些比特端口连接线和Bus Tap 标记连接。经过上面的步骤后完成比特端口和总线的连接。 10、按照上面几节的描述步骤,完成所有输入和输出端口和 元件的连接,最后选择tools->Check Schematic,对设计的原理图 进行检查,修改错误,当没有错误后,将该原理图保存。
第七章
● 基于原理图的设计输入-创建状态图模块
图7.9 未完成的状态图 该文件中的圆圈代表不同的状态;黑色描述的表达式是状态 迁移的条件;输出表达式。这些表达式的书写有规定的语法,最 终以VHDL、Verilog和ABEL语言输出描述。
●基于原理图的设计输入-添加状态
第七章
通过下面的步骤,将一个clear状态添加到状态机中: 1、在状态图编辑器的界面中,单击图标; 2、如图7.10所示,将光标移到图的左边,点击鼠标将其放在 给定的位置; 3、单击该图标,将状态名字改为clear,选择ok;
●基于原理图的设计输入-添加连线
第七章
图7.7 元件编辑窗口界面
● 基于原理图的设计输入-添加连线
第七章
4、分别将load,up,clk和clr输入和五个计数器模块的L,UP,C,R 引脚连接,将前一个计数器CE0和下一个计数器CE连接; 通过以上4个步骤就可以完成元件之间的线连接,下面将介 绍通过总线连接元件的方法。
● 基于原理图的设计输入-添加状态
第七章
图7.10 添加clear状态
第七章

基于原理图的设计输入-添加迁移
状态迁移定义了状态机之间的状态变化。状态图中的状态变 化用箭头描述。该状态的迁移是无条件的,因此不需要迁移的条 件。通过下面的步骤,在clear和zero状态之间添加迁移: 1、在状态图编辑器的界面中,单击图标; 2、单击clear状态图标,然后再单击zero状态图标。可以看到 在两个状态之间产生了由clear指向zero的箭头; 3、在状态图编辑器的界面中,单击图标,放弃继续添加迁移;

基于原理图的设计输入-工程建立
第七章
图7.1 创建新工程的界面

基于原理图的设计输入-工程建立
第七章
2、在Project Location域内,由设计人员给出保存工程的路径; 3、在Project name域内,由设计人员给出工程名wtut_sc; 4、在Top-Level Source Type域内,选择原理图Schematic,单 击下一步; 如图7.2所示,桌面出现下面的界面;
第七章
基于原理图的设计输入-原理图编辑器操作
原理图模块由模块符号和符号的连接组成。下面的步骤将通 过ISE的原理图编辑器(Schematic Editor)介绍建立基于原理图设 计time_cnt模块的过程: 1、在ISE主界面下,选择Project->New Source,出现图7.5的 New Source对话框界面。在该界面左边选择Schematic选项,在 File Name中输入time_cnt,单击next按钮,然后单击Finish按钮, 建立新原理图模块; 2、在ISE工作区子窗口,出现原理图编辑窗口,点击鼠标右 键,然后选择Object Properties,将图纸尺寸(Size)改成D=34x22。 点击ok按钮; 通过上面步骤,建立一个time_cnt原理图输入界面;

● 基于原理图的设计输入-添加I/O符号
第七章
I/O符号用来确定模块的输入/输出端口,通过下面的步骤可 以创建模块的I/O符号: 1、在原理图编辑器界面内,选择Tools>Create I/O markers., 显示创建I/O marker对话框; 2、如图7.5所示,在Inputs下输入q(19:0),load, up,ce, clk, clr, 在output下输入hundredths(3:0),tenths(3:0), sec_lsb(3:0), sec_msb(3:0),minutes(3:0),点击OK;
第七章

基于原理图的设计输入-创建模块符号
当设计完成后,下面创建该原理图的RTL符号描述。这个RTL 符号是该原理图的例化描述。当创建完RTL符号后,就可以将该 符号添加到顶层的原理图设计文件中。下面给出创建模块符号的 步骤: 1、在工程管理窗口(Source window),选择time_cnt. sch文 件; 2、在处理窗口(Process window),选择+ Design Utilties并将其 展开,下面工具将以分层列表的方式显示。 3、双击Create Schematic Symbol选项。通过以上步骤,产生 该模块的RTL符号描述。
● 基于原理图的设计输入-设计描述
第七章
图7.4 完整的顶层原理图的界面
● 基于原理图的设计输入-设计描述
第七章
在这个基于分层的设计中,读者可以建立各种类型的模块, 其中包括基于原理图输入模块、基于HDL输入模块、基于状态图 输入模块和基于IP核输入模块。通过该示例,EDA设计人员可以 详细学习建立每一种模块的方法,并且学习如何将这些模块连接 在一起构成一个完整的设计。
第七章
● 基于原理图的设计输入-添加原理图元件
图7.6 元件符号浏览器界面
2、选择cd4rled元件,用鼠标将其拖入编辑器窗口内。如图 7.7所示,再添加3个这样的元件到编辑界面中,同时添加AND2b1, ch4rled和AND5元件到编辑器窗口内;
第七章
●基于原理图的设计输入-添加连线
1、在元件编辑器界面内,选择Add>wire或者在工具栏中点 击Add wire图标; 2、点击AND2B1的输出,拖动连线到cd4rled元件的CE引脚。 此时在两个引脚之间建立连线; 3、点击AND5元件输出,拖动连线到AND2b1反向输入引脚。 此时在两个引脚之间建立连线;

基于原理图的设计输入-添加I/O符号
第七章
图7.5 I/O marker建立界面
第七章

基于原理图的设计输入-添加原理图元件
通过符号浏览器(Symbol Brower)可以看到对于当前设计所 用芯片可以使用的元件名字和符号(这些符号按字母顺序排列)。 这些元件符号可以用鼠标直接拖到原理图编辑器中。下面给出添 加原理图元件的步骤: 1、在原理图编辑器的界面内,选择Add->Symbol或者在工 具栏中点击Add Symbol图标。如图7.6所示,在原理图编辑器窗口 左边,打开符号浏览器(Symbol Brower)。在设计路径下,选择 cd4rled,该元件是4比特双向可加载的BCD计数器;
● 基于原理图的设计输入-添加总线符号
第七章
添加总线包括添加总线符号和与总线连接的比特位), tenths(3:0), sec_lsb(3:0), sec_msb(3:0)和minutes(3:0)连接的步骤: 1、分别选择上面的总线输出符号; 2、选择Add>wire或者在工具栏中点击Add wire图标,从这些 端口拖出总线连接线,按图7.8所示,引出这些总线的连接线;
何宾 2008.09
第 章
7
第七章
基于原理图的设计输入--主要内容
VHDL语言的出现使得许多PLD设计都是基于VHDL的设 计流程,但是基于原理图的设计也有着重要应用。例如,对 于一个简单数字系统设计而言,顶层文件使用原理图设计, 这样做设计比较直观,容易理解,要比使用HDL例化语句描 述简单。该章还是通过秒表的设计示例介绍基于原理图的设 计流程。 在这里需要说明的是,一个有经验的EDA设计人员,会 使用基于HDL语言、原理图和IP核的混合设计方法完成设计, 这些设计方法可能使用在设计的各个模块中,而不会只局限 在顶层模块中。
第七章
● 基于原理图的设计输入-添加总线符号
图7.8 完整的总线连接界面
第七章
● 基于原理图的设计输入-添加总线符号
3、当添加操作结束时,按ESC键放弃总线连接操作; 4、下面将要把比特端口和总线连接,选择Add>Bus Tap或者 在工具栏中点击Add BusTap图标。 5、从原理图编辑器左边的Option标签中选择--<Right选项, 这样做是为了将元件和总线很好的连接。 6、单击hundreths(3:0),将bus Tap标记放在总线上,下面要 进行selected bus name和Net name 的操作。将五个计数器对应的 Bus Tap标记分别放在总线相应的位置上。如图7.8所示,需要在5 条总线上放4个Bus Tap标记。 7、选择Add>wire或者在工具栏中点击Add wire图标,分别 从5个计数器的Q0~Q3分别引出四个连接线,注意不要和Bus Tap 连接。

基于原理图的设计输入-工程建立
第七章
图7.2 芯片属性界面
● 基于原理图的设计输入-工程建立
第七章
5、在Device Properties界面中,选择合适的产品范围 (Product Category)、芯片的系列(Family)、具体的芯 片型号 (Device)、封装类型(Package)、速度信息(Speed),此外, 在该界面中还要选择综合工具(Synthesis Tool)、仿真工具 (Simulator)和设计语言(Preferred Language)。图7.2给出了示 例中的参数配置;
● 基于原理图的设计输入-设计描述
第七章
在该设计中,采用了层次化的、基于原理图的设计方法。该 设计的顶层文件是由原理图生成,而顶层文件下面的其它模块可 以用VHDL语言、原理图或IP核生成。该设计就是完成一个还未 完成的工程。通过这个设计流程,读者可以完成和产生其它的模 块。当设计完成后,可以通过仿真验证设计的正确性。图7.4给出 了该设计完整的顶层原理图描述。该例子的输入、输出信号和功 能模块与前一章的例子完全一样。
相关文档
最新文档