交通安全学实验报告

交通安全学实验报告
交通安全学实验报告

本科实验报告

学院名称:

专业班级:

指导教师:

实验所属课程:

学生姓名:

学生学号:

目录

一、实验所属课程名称 (1)

二、实验时间及地点 (1)

三、实验名称 (1)

四、实验要求及目的 (1)

五、实验使用的仪器设备 (1)

六、实验原理及容 (2)

七、实验心得 (10)

一、实验所属课程名称

交通安全学

二、实验时间及地点

2016年6月27日七教206

三、实验名称

《交通安全学》眼动仪实验

四、实验要求及目的

实验要求:

完成以下容:

1、掌握眼动仪实验方法。

2、对眼动指标进行分析。

(1)注视持续时间

(2)注视时间分布

(3)注视点散点图

实验目的:

1、通过本实验的训练,学生能够加深对驾驶人视觉特点的理解,掌握驾驶人视觉测量的方法,并能通过数据分析的驾驶人视觉规律。

2、通过实验,使学生了解和掌握眼动仪的使用和视觉指标的提取,熟悉驾驶人视觉特性规律探寻的一般步骤和方法。

实验组织:

1、实验期间的组织工作应由指导教师全面负责,每班除主讲教师外,还应配备一位辅导教师,共同担任实习期间的辅导工作。

2、实验工作按大组进行,每大组1个班,选组长1人,负责组实验分工和仪器管理。

五、实验使用的仪器设备

使用仪器硬件实验设备装置如下:

1、计算机1台

2、眼动仪1套

3、眼动仪配套分析软件

4、word和excle软件

六、实验原理及容

实验原理:

通过学习眼动仪的使用方法,获得对驾驶人在行车过程中视觉测量的一般思路,结合眼动仪自带软件,选取典型视觉指标进行计算和绘制图表。最终获得对于驾驶人视觉特征的理解和掌握。

实验容:

1、数据筛选;

1)先将read start~read end,text start~text end,answer start~answer end,

call start~call end这四个区间以外的无关数据删除。

2)筛选出注视点,并对所有注视点行标记颜色。

3)取消筛选,效果如图:黄色区域为注视点,白色为眼跳状态或其他。

2、注视持续时间

在Recording timestamp列左侧新插入一列空白列;

在空白列C1格处输入公式:=D3-D2,回车,并下拉计算出整列数据,即求出每个眼动状态点间的时间间隔。

对每个黄色区域(即相邻的几行黄色行即为注视点坐标相同的同一注视点)的时间间隔进行合并求和计算。如图,即为每个注视点的注视时间。

3、注视时间分布

将所有注视点筛选出来,并复制“时间间隔”列数据至新的工作表(注意区分各任务,不同任务数据可用不同颜色填充表示)。

在频率栏第一格输入:=COUNTIF(A2:A666,"<50")

A2:A666表示“看短信”任务的A列第2个数据到第666个数据(实际应为看短信过程的全部注视数据总个数值,这里666仅做示例)

频率栏第二格输入:=COUNTIF(A2:A666,">=50")-COUNTIF(A2:A666,">100") 下面同理。

计算百分比并绘制柱状图。

图1 正常驾驶read start~read end

图2 正常驾驶text start~text end

图3 正常驾驶answer start~answer end

图4 正常驾驶call start~call end

图5 任务驾驶read start~read end

图6 任务驾驶text start~text end

交通仿真实验报告

交通仿真实验报告 篇一:交通仿真实验报告 目录 1 上机性质与目的.................................. 2 2 上机内容....................................... 2 3 交叉口几何条件、信号配时和交通流数据描述.......... 3 3.1 交叉口几何数据................................ 3 3.2 交叉口信号配时系统............................ 3 3.3 交叉口交通流数据.............................. 4 4 交叉口交通仿真.................................. 4 4.1 交通仿真步骤.................................. 4 4.2 二维输出..................................... 13 4.3 3D输出...................................... 14 5 仿真结果分析................................... 15 6 实验总结和体会 (15) 实验上机名称:信号交叉口仿真 1 上机性质与目的 本实验属于计算机仿真实验,借助仿真系统模拟平面信号交叉口场景,学生将完成从道路条件设计到信号相位配置等一系列仿真实验。 实验目的: 1. 了解平面信号交叉口在城市交通中的地位; 2. 了解平面信号交叉口的主要形式、规模等基本情况; 3. 了解交叉口信号相位配时及对交叉口通行能力的影响;

交通灯实验报告

交通控制器设计实验 一.实验目的 1.了解交通灯的亮灭规律。 2.了解交通灯控制器的工作原理。 3.进一步熟悉VHDL语言编程,了解实际设计中的优化方案。二.实验任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 三.原理分析 本系统主要由计数控制器和倒计时显示器电路组成。计数控制器实现总共90秒的计数,90秒也是交通控制系统的一个大循环;控制器控制系统的状态转移和红黄绿灯的信号输出;倒计时显示器电路实现45秒倒计时和显示功能。整个系统的工作时序受控制器控制,它是系统的核心。 控制器的整个工作过程用状态机进行描述,其状态转移关系如下图所示。五种状态描述如下: s0:东西方向红灯亮,南北方向绿灯亮,此状态持续40秒的时间; s1:东西方向红灯亮,南北方向黄灯亮,此状态持续5秒的时间;

s2:东西方向绿灯亮,南北方向红灯亮,此状态持续40秒的时间; s3:东西方向黄灯亮,南北方向红灯亮,此状态持续5秒的时间; s4:紧急制动状态,东西方向红灯亮,南北方向红灯亮,当紧急制动信号有效(hold=’0’)时进入这种状态。 当紧急制动信号无效(hold=’1’)时,状态机按照s0→s1→s2→s3→s0循环;当紧急制动有效(hold=’0’)时,状态机立即进入s4,两个方向红灯全亮,计数器停止计数;当紧急制动信号再恢复无效时,状态机会回到原来的状态继续执行。 四.电路设计 交通控制器系统顶层原理图如下图所示,它由计数控制器(control),45秒倒计时计数器(M45)模块组成。下面主要介绍计数控制器和倒计时计数器M45的设计方法。

交通调查实验报告

交通运输学院 交通调查实验报告 指导教师: 组长: 第一章交通调查实验 目录 1 西门调查 (1) 1.1 交通调查简介 (1) 1.2 调查目的 (1) 1.3 调查内容 (1) 1.4 调查地点及时间 (1) 1.5 RTMS简介 (1) 1.6 调查方案 (2)

1.6.1 交通量调查 (2) 1.6.2 交通延误调查 (2) 1.7 调查数据处理及与RTMS的对比分析 (2) 1.7.1 调查数据 (2) 1.7.2 误差分析 (3) 1.8 交通流三要素关系分析 (4) 1.8.1 相关概念 (4) 1.8.2 数据分析 (4) 2 交通延误调查分析 (11) 3 香山停车场调查 (13) 3.1 停车场利用调查 (13) 3.1.1 人工计数法 (13) 3.1.2下面就我组这一组调查数据及统计结果做几点分析说明 (14) 3.2 停车场针对司机的问卷调查 (15) 3.2.1 统计结果 (15) 3.2.2 分析与说明 (18) 3.3 总结 (20) 1 西门调查 1.1 交通调查简介 交通调查是一种用客观的手段,测定道路交通流以及与其有关现象的数据,并进行分析,从而了解与掌握交通流的规律,其目的是为了向交通城建规划与环保以及公安交通管理等部门提供改善、优化道路交通的实际参考资料和数据。交通调查的对象主要是交通流现象,而与交通流有关的诸如国民经济发展、经济结构、运输状况、城乡规划、道路等交通设施、交通环境、汽车的行驶特性、地形、气候、气象及其他安全设施和措施等等均可作专项调查。此次我们组将主要针对交通流特性的三要素——交通量、速度、密度做相关的小规模调查及数据分析。 1.2 调查目的 本次交通调查任务,具体调查目的可分为以下几点: 1)了解我校西门外高梁桥斜街的交通情况; 2)高峰期西门外路段交通流三要素,即交通量、速度、密度的相关数据采集; 3)将人工观测得到的数据与RTMS采集的数据做误差对比分析;

交通运输系统仿真实验报告

一、系统描述 1.1.系统背景 本系统将基于下面的卫星屏幕快照创建一个模型。当前道路网区域的两条道路均为双向,每个运动方向包含一条车道。Tapiolavagen路边有一个巴士站,Menninkaisentie路边有一个带五个停车位的小型停车场。 1.2.系统描述 (1)仿真十字路口以及三个方向的道路,巴士站,停车点;添加小汽车、公交车的三维动画,添加红绿灯以及道路网络描述符; (2)创建仿真模型的汽车流程图,三个方向产生小汽车,仿真十字路口交通运行情况。添加滑条对仿真系统中的红绿灯时间进行实时调节。添加分析函数,统计系统内汽车滞留时间,用直方图进行实时展示。 二、仿真目标 1、timeInSystem值:在流程图的结尾模块用函数统计每辆汽车从产生到丢弃的,在系统中留存的时间。 2、p_SN为十字路口SN方向道路的绿灯时间,p_EW为十字路口EW方向道路的绿灯时间。 3、Arrival rate:各方向道路出现车辆的速率(peer hour)。

三、系统仿真概念分析 此交通仿真系统为低抽象层级的物理层模型,采用离散事件建模方法进行建模,利用过程流图构建离散事件模型。 此十字路口交通仿真系统中,实体为小汽车和公交车,可以源源不断地产生;资源为道路网络、红绿灯时间、停车点停车位和巴士站,需要实施分配。系统中小汽车(car)与公共汽车(bus)均为智能体,可设置其产生频率参数,行驶速度,停车点停留时间等。 四、建立系统流程 4.1.绘制道路 使用Road Traffic Library中的Road模块在卫星云图上勾画出所有的道路,绘制交叉口,并在交叉口处确保道路连通。 4.2.建立智能体对象 使用Road Traffic Library中的Car type模快建立小汽车(car)以及公共汽车(bus)的智能体对象。 4.3.建立逻辑 使用Road Traffic Library中的Car source、Car Move To、Car Dispose、

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

plc交通灯实验报告

plc交通灯实验报告 篇一:PLC交通灯课程设计报告 信息与电子工程学院 课程设计报告 目录 一、课程设计概述 ................................................ .................. 3 1.1课程设计内容 ................................................ ........................... 3 1.2课程设计技术指标 ................................................ ................... 3 二、方案的选择及确定 ................................................ ........... 4 三、系统硬件设计 ................................................ .................. 5 四、系统软件设计 ................................................ .................. 6 五、触摸屏设计 ................................................ ...................... 8 六、系统调试 ................................................ ......................... 9 七、总结以体

交通安全学实验报告

本科实验报告 学院名称: 专业班级: 指导教师: 实验所属课程: 学生姓名: 学生学号:

目录 一、实验所属课程名称 (1) 二、实验时间及地点 (1) 三、实验名称 (1) 四、实验要求及目的 (1) 五、实验使用的仪器设备 (1) 六、实验原理及容 (2) 七、实验心得 (10)

一、实验所属课程名称 交通安全学 二、实验时间及地点 2016年6月27日七教206 三、实验名称 《交通安全学》眼动仪实验 四、实验要求及目的 实验要求: 完成以下容: 1、掌握眼动仪实验方法。 2、对眼动指标进行分析。 (1)注视持续时间 (2)注视时间分布 (3)注视点散点图 实验目的: 1、通过本实验的训练,学生能够加深对驾驶人视觉特点的理解,掌握驾驶人视觉测量的方法,并能通过数据分析的驾驶人视觉规律。 2、通过实验,使学生了解和掌握眼动仪的使用和视觉指标的提取,熟悉驾驶人视觉特性规律探寻的一般步骤和方法。 实验组织: 1、实验期间的组织工作应由指导教师全面负责,每班除主讲教师外,还应配备一位辅导教师,共同担任实习期间的辅导工作。 2、实验工作按大组进行,每大组1个班,选组长1人,负责组实验分工和仪器管理。 五、实验使用的仪器设备 使用仪器硬件实验设备装置如下:

1、计算机1台 2、眼动仪1套 3、眼动仪配套分析软件 4、word和excle软件 六、实验原理及容 实验原理: 通过学习眼动仪的使用方法,获得对驾驶人在行车过程中视觉测量的一般思路,结合眼动仪自带软件,选取典型视觉指标进行计算和绘制图表。最终获得对于驾驶人视觉特征的理解和掌握。 实验容: 1、数据筛选; 1)先将read start~read end,text start~text end,answer start~answer end, call start~call end这四个区间以外的无关数据删除。 2)筛选出注视点,并对所有注视点行标记颜色。 3)取消筛选,效果如图:黄色区域为注视点,白色为眼跳状态或其他。 2、注视持续时间 在Recording timestamp列左侧新插入一列空白列; 在空白列C1格处输入公式:=D3-D2,回车,并下拉计算出整列数据,即求出每个眼动状态点间的时间间隔。 对每个黄色区域(即相邻的几行黄色行即为注视点坐标相同的同一注视点)的时间间隔进行合并求和计算。如图,即为每个注视点的注视时间。 3、注视时间分布 将所有注视点筛选出来,并复制“时间间隔”列数据至新的工作表(注意区分各任务,不同任务数据可用不同颜色填充表示)。 在频率栏第一格输入:=COUNTIF(A2:A666,"<50") A2:A666表示“看短信”任务的A列第2个数据到第666个数据(实际应为看短信过程的全部注视数据总个数值,这里666仅做示例) 频率栏第二格输入:=COUNTIF(A2:A666,">=50")-COUNTIF(A2:A666,">100") 下面同理。

交通仿真实验报告

土木工程与力学学院交通运输工程系 实 验 报 告 课程名称:交通仿真实验 实验名称:基于VISSIM的城市交通仿真实验 专业:交通工程 班级: 1002班 学号: U201014990 姓名:李波 指导教师:刘有军 实验时间: 2013.09 ---- 2013.10

实验报告目录 实验报告一: 无控交叉口冲突区设置与运行效益仿真分析 实验报告二: 控制方式对十字交叉口运行效益影响的仿真分析实验报告三: 信号交叉口全方式交通建模与仿真分析 实验报告四: 信号协调控制对城市干道交通运行效益的比较分析实验报告五: 公交站点设置对交叉口运行效益的影响的仿真分析实验报告六: 城市互通式立交交通建模与仿真分析 实验报告七: 基于VISSIM的城市环形交叉口信号控制研究 实验报告成绩

实验报告一: 无控交叉口冲突区设置与运行效益仿真分析 一、实验目的 熟悉交通仿真系统VISSIM软件的基本操作,掌握其基本功能的使用. 二、实验内容 1.认识VISSIM的界面; 2.实现基本路段仿真; 3.设置行程时间检测器; 4.设置路径的连接和决策; 5.设置冲突区 三、实验步骤 1、界面认识: 2、(1)更改语言环境—(2)新建文件—(3)编辑基本路段—(4)添加车流量 3、(1)设置检测器—(2)运行仿真并输出评价结果 4、(1)添加出口匝道—(2)连接匝道—(3)添加路径决策—(4)运行仿真 5、(1)添加相交道路—(2)添加车流量—(3)设置冲突域—(4)仿真查看 四、实验结果与分析

时间; 行程时间; #Veh; 车辆类别; 全部; 编号: 1; 1; 3600; 18.8; 24; 可知:检测器起终点的平均行程时间为:18.8; 五、实验结论 1、检测器设置的地点不同,检测得到的行程时间也不同。但与仿真速度无关。 2、VISSIM仿真系统的数据录入比较麻烦,输入程序相对复杂。 实验报告二: 控制方式对十字交叉口运行效益影响的仿真分析 一、实验目的 掌握十字信号交叉口处车道组设置、流量输入、交通流路径决策及交通信号控制等仿真操作的方法和技巧。 二、实验内容 1.底图的导入 2.交叉口专用车道和混用车道的设置方法和技巧 3.交通信号设置 4.交叉口冲突区让行规则设置

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

(最新整理)交通仿真实验报告

(完整)交通仿真实验报告 编辑整理: 尊敬的读者朋友们: 这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)交通仿真实验报告)的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。 本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)交通仿真实验报告的全部内容。

土木工程与力学学院交通运输工程系 实 验 报 告 课程名称:交通仿真实验 实验名称:基于VISSIM的城市交通仿真实验 专业:交通工程 班级: 1002班 学号: U201014990 姓名:李波

指导教师: 刘有军 实验时间: 2013。09 -——- 2013.10 实验报告目录 实验报告一: 无控交叉口冲突区设置与运行效益仿真分析 实验报告二: 控制方式对十字交叉口运行效益影响的仿真分析 实验报告三: 信号交叉口全方式交通建模与仿真分析 实验报告四: 信号协调控制对城市干道交通运行效益的比较分析 实验报告五: 公交站点设置对交叉口运行效益的影响的仿真分析 实验报告六: 城市互通式立交交通建模与仿真分析 实验报告七: 基于VISSIM的城市环形交叉口信号控制研究 实验报告成绩

实验报告一: 无控交叉口冲突区设置与运行效益仿真分析 一、实验目的 熟悉交通仿真系统VISSIM软件的基本操作,掌握其基本功能的使用。 二、实验内容 1。认识VISSIM的界面; 2.实现基本路段仿真; 3.设置行程时间检测器; 4.设置路径的连接和决策; 5。设置冲突区 三、实验步骤 1、界面认识: 2、(1)更改语言环境—(2)新建文件—(3)编辑基本路段-(4)添加车流量 3、(1)设置检测器—(2)运行仿真并输出评价结果 4、(1)添加出口匝道—(2)连接匝道-(3)添加路径决策-(4)运行仿真 5、(1)添加相交道路—(2)添加车流量-(3)设置冲突域—(4)仿真查看 四、实验结果与分析

汽车道路实验报告

汽车道路实验报告 班级:汽车服务工程1002班姓名:许超 学号:201023189067 组员:童芳、赵建宏、袁源、隆池、许超、许刘路 学院:汽车与交通工程学院 日期: 2013-6-4 2013年6月4日制

实验一 汽车滑行实验 1、滑行实验测试结果和记录 预定滑行初速度V 0=30 Km/h 实验记录 实测滑行速度V (Km/h ) 实测滑行距离S (m ) 滑行距离平均 值 实测滑行时间t (s ) 滑行时间平均值 往 返 往 返 往 返 30 30 0.00 0.00 0.00 0 0 0 24 24 120.44 41.02 80.73 15.19 4.96 10.08 18 18 210.50 80.40 145.45 29.66 10.36 20.01 12 12 269.56 204.66 237.11 43.12 32.24 37.68 6 6 349.52 240.54 295.03 73.28 41.82 57.55 0 0 382.64 289.02 335.83 108.72 72.09 90.41 2、根据测量数据,绘制速度—滑行时间、速度—滑行距离曲线 ⑴选取初速度30km/h 的数据,绘制速度—滑行时间曲线如下图

⑵选取初速度30km/h 的数据,绘制速度—滑行距离曲线如下图 3、计算往返两个方向滑行距离的平均值,见上表 4、根据实验数据,计算滑行平均速度v 、滑行减速度α、滑行阻力系数f 、滑行阻力R (1)求滑行平均速度v 解: v=360/t 2 (km/h ) 当滑行初速度为30km/h 时,查曲线图可得t 2=13s ,所以v=25km/h (2)求滑行减速度α 解:t2t1-t2111100) (-= t α 当滑行初速度V 0=30 km/h 时,由V —S 曲线图可读出试验车通过前50m 路段所对应 的车速约为 27.5km/h ,又由车速—滑行时间曲线图可读出V=27.5km/h 时所对应的滑行时间t 1≈6 s ;用同样方法可读出试验车通过前100m 路段所对应的滑行时间t 2≈13s ;分别将t 1、t 2代入求得α=0.18m/s 2。 (3)求滑行阻力系数f 解:f=α/9.8代入所求得的α可求得 当滑行初速度V 0=30 km/h 时的滑行阻力系数f=0.019

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

plc红绿灯实验报告

竭诚为您提供优质文档/双击可除plc红绿灯实验报告 篇一:交通灯pLc控制实验报告 交通灯的pLc控制实验报告 学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307 实验目的 1.熟悉pLc编程软件的使用和程序的调试方法。2.加深对pLc循环顺序扫描的工作过程的理解。3.掌握pLc的硬件接线方法。 4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。3.导线若干

实验原理 交通指挥信号灯图 I/o端子分配如下表 注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。 系统硬件连线与控制要求 采用1764-L32Lsp型号的microLogix1500可编程控制器,进行 I/o端子的连线。它由220VAc供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。1764:产品系列的代号L:基本单元 24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出 A:100/240V交流供电 下图为可编程控制器控制交通信号灯的I/o端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯熄灭。 (2)南北红灯维持25秒。在南北红灯亮的同时东西绿

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

交通仿真transcad实验报告

《交通仿真技术与应用》课程实验报告 姓名: 学号:

实验一实验名称:熟悉Transcad地理文件编辑实验内容: 1、导入背景图片 2、新建线类型地理文件(线层和点层) 3、编辑线类型地理文件 4、为路段图层输入属性数据 5、新建和编辑面类型地理文件 6、矩阵文件建立与数据导入 7、创建相应的专题地图 实验结果:

实验总结: 在这次试验中,我们掌握了面类型和线类型地理文件的创建与编辑方法。理解地图与图层的概念,学会用样式、标注、图例等修饰地图。掌握数据表文件的创建与编辑方法。理解表格、字段、记录的含义与关系,掌握将数据表连接到地图的方法。掌握矩阵文件的创建与编辑方法。学会如何导入、导出矩阵数据。掌握专题地图的制作方法,包括色彩专题图、点密度专题图、等级符号专题图以及期望线图等。

实验二 实验名称:用回归模型进行出行生成预测 实验内容: 1、 基础数据准备及录入 2、 回归模型参数估计 3、 回归模型进行预测 4、 平衡产生量与吸引量 5、 出行生成结果预测分析 实验结果: 回归预测模型的标定,检验其可靠性。 出行产生吸引预测值: n I r 'LLXa VErunaki i rnuu*! 叫 1: S~31 X ULEJ 1 Ih. - " A 1 IDUJ" J 7niterlD| GOP| P Bate| Tia* II ^r TT 山| Aiea People A Ei?e| Pmple^Ft*| fiDP Fui F Fm A Fw| 1 H3S 1 20 1G49 175B 550 30 2085 2184 b [LW 4 3b n?st b +B JD12 翱 0L 72 b 1100 55 斟阳 1200 rt) ?1B/ 4?3S & 0 98 & IMO CD 站as 皇宙 讣a 70 ]?!? 3 0L71 3 1200 G5 第闊 3793 12B0 ?D 4S1B ; 49M 工 0L94 2 1600 so 狎53 心p IZOOT 100| 5732 5739 Saur 诧 4f 戏 H$fin SS F Ratio ladrl Z &. T5345e4-OD0 2_ 3?i£73e-H]O6 氐Mlgzs 3 https://www.360docs.net/doc/084482810.html,Sl^OM 348M1 lot al 5昭?阳1刊匕40囲 IS qjaared =加射“ A4j R S1 fJoxR Estznietc 54-i Error 1 gtert coii^wr tM- 44J People -?.钏也2 4J1S3B 7, ;、 ■GDP 9 乩'?416 "3. TCjgj 1.痂冏 iREC-Uitldn TillE 样E UJ :0D!Ull. OUO JLeld N-aie leai Std Tk 『 PeqF>le 1?3, 33 396.86B ■GCP 52..別CO at. mr A _b?as - 3BdS. 33 113t M Sauree : M Ne-an SS F Katia ladel 2 匚:-:■:.: -■ ■ ■■ 占科贮LEi W T.目茁闊 Irrnr 3 i. a&mdw&s 伽GL T'Jlul 6. 4<-88^H-W H - ||-:- Std. Err ar 1 St B1 CaHFTiNT S2S- 664 Faxoie -S,-31790 6 20C59 Wk S04L01 COP 9 艮 71W 75.1949 1. 31:T2 Irenx'ioTi Tiw WEF iXJ :C'D :QD. 000 Std DeV 胡f %卍 21. E217 』1阪胡 立辑 |R 刪忻 常珀企 逵创Hi FLel d Naue Tetifple GCP FBhH'd E1 art a nc 卩了 口匚 sedijr-E Linear N D de 1 Es~i i nzrl L on with. KeEre ssEon flo>ziEl DCI Apri 1 DE. 201 E> -iUEzSy PK Rtgrtisiflft lo fel of /LPftBS fca U JZ ODC (All HecardnJ e p '”吐-id?4- 曰 Ejj E Io . 13 5 3 F 開 Iifct-er.Jef..i flELd. i£ f_Ba=.e Il ep tn den i £■ eld 1: A.BztsT R S-q-jared 二 CL 23?tl Adj F. EquHed =金-¥田6

交通信号灯设计实验报告

华侨大学电子工程系 基于FPGA的交通信号灯 课程设计报告 设计课题:交通信号灯设计 姓名:潘申欣、崔冰、陈孔滨 专业:10级集成电路设计与集成系统 学号:1015251023、1015251005、1015251003日期:2013年4月14日—2013年4月26日指导教师:傅文渊

目录 摘要 一、设计的任务与要求 (4) 1、任务与要求 (4) 2、系统原理 (5) 3、创新部分 (5) 二、系统顶层原理图 (6) 三、各功能模块叙述 (6) 1、码转换模块A (6) 2、数据产生模块 (8) 3、数据存储模块 (10) 4、4选1多路选择器 (12) 5、时钟产生模块 (13) 6、总控制模块 (15) 7、码转换模块B (20) 8、码转换模块C (22) 9、码转换模块D (24) 10、LCD1602驱动模块 (25) 四、硬件验证结果说明 (29) 1、引脚锁定 (29) 2、基本功能的验证 (30) 3、紧急情况处理部分 (33) 4、手动更改时间部分 (34) 五、Signal Tap仿真结果 (37) 六、心得体会 (38) 七、参考文献 (39) 八、附录(产品使用说明书) (39)

摘要 1、EDA技术的概念 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。 2、VHDL语言概念 VHDL语言:超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。

相关文档
最新文档