实验6报告
机器人技术基础实验报告6
机器人技术基础实验报告6一、实验目的本次机器人技术基础实验的目的在于深入了解机器人的运动控制、感知与交互能力,并通过实际操作和观察,掌握机器人系统的基本原理和应用方法。
二、实验设备1、机器人本体:采用了一款具有多关节自由度的工业机器人模型。
2、控制器:配备了高性能的运动控制卡和处理器,用于实现对机器人的精确控制。
3、传感器套件:包括视觉传感器、力传感器和距离传感器等,以获取机器人周围环境的信息。
4、编程软件:使用了专业的机器人编程工具,具备图形化编程和代码编辑功能。
三、实验原理1、运动学原理机器人的运动学研究了机器人各个关节的位置、速度和加速度之间的关系。
通过建立数学模型,可以计算出机器人末端执行器在空间中的位置和姿态。
2、动力学原理动力学分析了机器人在运动过程中所受到的力和力矩,以及这些力和力矩对机器人运动的影响。
这对于设计合理的控制策略和驱动系统至关重要。
3、传感器融合技术通过融合多种传感器的数据,如视觉、力和距离等信息,可以使机器人更全面、准确地感知周围环境,从而做出更智能的决策和动作。
四、实验步骤1、机器人系统初始化首先,对机器人进行了机械和电气连接的检查,确保各部件安装牢固且线路连接正常。
然后,通过控制器对机器人进行初始化设置,包括关节零位校准、运动范围设定等。
2、运动控制编程使用编程软件,编写了简单的运动控制程序,实现了机器人的直线运动、圆弧运动和关节空间的运动轨迹规划。
在编程过程中,充分考虑了运动速度、加速度和精度的要求。
3、传感器数据采集与处理启动传感器套件,采集机器人周围环境的信息。
通过编写相应的程序,对传感器数据进行滤波、融合和分析,提取有用的特征和信息。
4、机器人交互实验设计了人机交互场景,通过示教器或上位机软件向机器人发送指令,观察机器人的响应和动作。
同时,机器人也能够根据传感器反馈的信息,主动与环境进行交互,如避障、抓取物体等。
五、实验结果与分析1、运动控制精度通过对机器人运动轨迹的实际测量和与理论轨迹的对比分析,发现机器人在直线运动和圆弧运动中的位置精度能够达到预期要求,但在高速运动时存在一定的误差。
操作系统实验报告6
操作系统实验报告6一、实验目的本次操作系统实验的主要目的是深入了解和掌握操作系统中进程管理、内存管理、文件系统等核心概念和相关技术,通过实际操作和观察,增强对操作系统工作原理的理解,并提高解决实际问题的能力。
二、实验环境本次实验使用的操作系统为 Windows 10,实验工具包括 Visual Studio 2019 等。
三、实验内容(一)进程管理实验1、创建多个进程,并观察它们的运行状态和资源占用情况。
通过编写简单的C++程序,使用Windows API 函数创建多个进程。
在程序中,设置不同的进程优先级和执行时间,观察操作系统如何调度这些进程,以及它们对 CPU 使用率和内存的影响。
2、进程间通信实现了进程间的管道通信和消息传递。
通过创建管道,让两个进程能够相互交换数据。
同时,还使用了 Windows 的消息机制,使进程之间能够发送和接收特定的消息。
(二)内存管理实验1、内存分配与释放使用 C++的动态内存分配函数(如`malloc` 和`free`),在程序运行时动态申请和释放内存。
观察内存使用情况,了解内存碎片的产生和处理。
2、虚拟内存管理研究了 Windows 操作系统的虚拟内存机制,通过查看系统的性能监视器,观察虚拟内存的使用情况,包括页面文件的大小和读写次数。
(三)文件系统实验1、文件操作进行了文件的创建、读取、写入、删除等基本操作。
通过编写程序,对不同类型的文件(如文本文件、二进制文件)进行处理,了解文件系统的工作原理。
2、目录操作实现了目录的创建、删除、遍历等功能。
了解了目录结构在文件系统中的组织方式和管理方法。
四、实验步骤(一)进程管理实验步骤1、打开 Visual Studio 2019,创建一个新的 C++控制台项目。
2、在项目中编写代码,使用`CreateProcess` 函数创建多个进程,并设置它们的优先级和执行时间。
3、编译并运行程序,通过任务管理器观察进程的运行状态和资源占用情况。
分析化学实验报告6
答:放置一会儿粉色褪去,因为空气中二氧化碳溶 于水,使水溶液中酸性增加。
2. 如何计算白醋总酸的百分含量?
答:用消耗氢氧化钠的体积
m% CV 4 M 100% 0.01
乘以氢氧化钠的浓度,得到 醋酸的摩尔数,再乘以4就是
10.00mL醋酸的摩尔数,再乘
以醋酸的分子量,除以0.01,
3.反滴定法适合测定什么物质?对反应有什么要求?
6. 为什么蛋壳需要研碎,且与盐酸的反应要放置30分钟,此反应 过程能否加热?
答:为了反应完全,不能加热,否则CO2会逸出。
实验五、过氧化氢含量H
2
2Mn 5O2 8H 2O
2
2MnO4 5H 2C2O4 6H 2Mn 10CO2 8H 2O
2.蛋壳中碳酸钙含量的测定
(1) 称取0.2~0.25g已经研碎的蛋壳3份, 分别置于锥形瓶中,用滴定管逐滴加入HCl溶 液约30mL,放置30分钟,
(2加入甲基橙指示剂,用氢氧化钠标准 溶液滴定溶液由( )色变为( )色。
(3) 计算碳酸钙的含量。
配置高锰酸钾溶液,为下一次实验准备。
思考题:
1.以酚酞为指示剂时,用碱滴定酸至溶液呈浅粉色为 止,若放置一会儿出现什么现象?为什么?
5、用甲基橙、酚酞两个不同指示剂进行比较滴定时,为什么酸碱体积比VHCl/ VNaOH往 往不等? 答:两指示剂变色范围不同,滴定终点不同。
6、如何检验滴定管是否洗净? 答:透明并无肉眼可见的污物,内外壁能被水均匀地润湿且不挂水珠。
实验二、分析天平的称量练习及自来水硬度的测定
一、实验原理: 1 . 总硬度的测定: 在滴定开始时
一、实验室安全(电,火,玻璃)
二、实验要求 (1)纪律:迟到、安静 (2)卫生:个人要求(从来没有来过) 值日生 (3)预习报告 (4)实验记录 (5)实验报告
物化实验报告6-最大气泡压力法测定溶液的表面张力
一、实验目的:1)掌握最大气泡压力法测定表面张力的原理和技术。
2)通过对不同浓度乙醇溶液表面张力的测定,加深对表面张力、表面自由能、表面张力和吸附量关系的理解。
二、实验原理:1.吉布斯吸附等温式:Γ = -(c/RT)/(dγ/dc)(1)式中,Г为溶液在表层的吸附量;γ为表面张力;c为吸附达到平衡时溶液在介质中的浓度。
朗格谬尔(Langmuir)公式:Γ =Γ∞Kc/(1+Kc)(2)Γ∞为饱和吸附量,即表面被吸附物铺满一层分子时的Г。
c/Γ =(1+Kc)/Γ∞K = c/Γ∞+1/Γ∞K (3)以c/Г对c作图,则图中该直线斜率为1/Г∞。
由所得的Г∞代入A m=1/Г∞L可求被吸附分子的截面积(L为阿伏伽德罗常数)。
2.本实验用气泡最大压力法测定溶液的表面张力,其仪器装置如图:1)恒温套管;2)毛细管(r在0.15~0.2mm);3)U型压力计(内装水);4)分液漏斗;5)吸滤瓶;6)连接橡皮管。
2)将待测表面张力的液体装于表面张力仪中,使毛细管的端面与液面相切,液面即沿毛细管上升,打开抽气瓶的活塞缓缓抽气,毛细管内的液面上受到一个比A瓶中液面上大的压力,当此压力差——附加压力(△p=p大气-p系统)在毛细管端面上产生的作用力稍大于毛细管液体的表面张力时,气泡就从毛细管口脱出,此附加压力与表面张力成正比,与气泡的曲率半径成反比,其关系式为:Δp=2γ/R (4)式中,Δp为附加压力;γ为表面张力;R为气泡的曲率半径。
如果毛细管半径很小,则形成的气泡基本上是球形的。
当气泡开始形成时,表面几乎是平的,这时曲率半径最大;随着气泡的形成,曲率半径逐渐变小,直到形成半球形,这时的曲率半径R和毛细管的半径r相等,曲率半径最小值,根据上式这时附加压力达最大值。
气泡进一步长大,R变大,附加压力则变小,直到气泡逸出。
根据上‘式,R=r 时的最大附加压力为:Δp 最大 = 2γ/r (5)实际测量时,使毛细管端刚与液面接触,则可忽略气泡鼓起所需克服的静压力,这样就可以直接用上式进行计算。
最新实验六(实验报告)
最新实验六(实验报告)实验目的:本次实验旨在探究特定物质在不同条件下的反应特性,以及通过实验数据分析物质的性质和变化规律。
通过对实验过程的观察和结果的记录,加深对理论知识的理解,并提高实验操作技能。
实验材料:1. 试样:待测物质样品2. 试剂:所需的化学反应试剂3. 仪器:天平、烧杯、量筒、滴定管、温度计、pH计、光谱仪等实验步骤:1. 准备阶段:根据实验要求,准确称取适量的试样和试剂,准备好所有实验仪器。
2. 实验操作:按照实验指导书的步骤,进行化学反应操作,记录下每个步骤的具体条件,如温度、pH值、反应时间等。
3. 数据收集:对反应过程中产生的数据进行收集,包括但不限于颜色变化、沉淀形成、气泡产生等。
4. 结果分析:根据收集到的数据,分析反应过程中物质的变化,以及反应的动力学特征。
5. 结论撰写:根据实验结果,撰写实验结论,总结物质的性质和反应特点。
实验结果:1. 反应速率:通过观察和记录,发现在特定条件下,反应速率与预期相符,具体数据见附录。
2. 产物分析:实验中产生的主要产物为X和Y,通过光谱分析确认了其结构。
3. 副反应:在实验过程中,未观察到明显的副反应现象。
4. 影响因素:实验中发现温度和pH值对反应速率有显著影响。
实验讨论:本次实验中,反应的速率和产物与理论预测基本一致,但在实际操作中存在一定的误差,可能的原因包括实验操作的不精确、环境条件的波动等。
未来可以通过改进实验方法和控制实验条件来减少误差。
结论:通过本次实验,我们成功地研究了特定物质在不同条件下的反应特性,并通过数据分析得到了物质的性质和反应规律。
实验结果对理解相关化学反应机制具有重要意义,并为进一步的实验研究提供了基础。
实验报告6功率因数及相序的测量
实验报告6功率因数及相序的测量一、实验目的1.学习使用电能表测量谐波内容;2.学习使用电容器改善功率因数。
二、实验器材1.电能表2.电阻箱3.电感4.电容5.交流电源6.相序表三、实验原理1.功率因数功率因数是指交流电的实功功率与视在功率之比,代表了电能的有效利用情况。
功率因数越高,电能的利用效率越高。
功率因数的计算公式为:功率因数=实功功率/视在功率2.相序在三相交流电系统中,相序是指三相电流或电压的变化先后顺序。
正常情况下,A相、B相和C相的电流或电压按照一定的顺序进行变化。
如果相序发生了颠倒,会引起系统异常,因此需要进行相序检测。
四、实验步骤1.将电阻箱和电感依次串联到交流电源上,并将末端接入电能表的电压端和电流端;2.依次改变电阻箱的阻值,测量不同负载下的视在功率、实功功率和功率因数;3.使用相序表分别测量正序和反序情况下的相序。
五、实验数据记录与分析1.功率因数的测量结果:负载阻值(Ω)视在功率(VA)实功功率(W)功率因数1010008000.82010007000.73010006000.64010005000.52.相序的测量结果:正序:A相→B相→C相反序:A相→C相→B相根据测量结果可知,当负载阻值增加时,视在功率不变,实功功率减小,功率因数也随之减小。
这是因为负载阻值增加导致了电流和电压的相位差增大,从而减小了有用功的输出。
在电能利用的角度,功率因数越接近于1,电能利用效率越高。
六、实验结论1.功率因数是实功功率与视在功率之比,代表了电能的有效利用情况。
功率因数越高,电能利用效率越高;2.对于给定的负载,当负载阻值增加时,功率因数减小;3.相序检测可以判断三相电流或电压的变化先后顺序,保证系统的正常运行。
七、实验心得通过本次实验,我学习到了功率因数和相序的概念,并掌握了测量功率因数和相序的方法。
通过具体实验操作,加深了对功率因数和相序的理解。
在实验过程中,我也遇到了一些问题,例如,电能表的使用和测量误差的处理。
编译原理实验报告6-逆波兰式的翻译和计算
编译原理实验报告6-逆波兰式的翻译和计算实验6 逆波兰式的翻译和计算一、实验目的通过实验加深对语法指导翻译原理的理解,掌握算符优先分析的方法,将语法分析所识别的表达式变换成中间代码的翻译方法。
二、实验内容设计一个表示能把普通表达式(中缀式)翻译成后缀式,并计算出结果的程序。
三、实验要求1、给出文法如下:G[E]E->T|E+T;T->F|T*F;F->i(E);对应的转化为逆波兰式的语义动作如下:E-> E(1)op E(2) {E.CODE:=E(1).CODE||E(2).CODE||op}E->(E(1)) { E.CODE := E(1).CODE}E->id { E.CODE := id} 2、利用实验5中的算符优先分析算法,结合上面给出的语义动作实现逆波兰式的构造;3、利用栈,计算生成的逆波兰式,步骤如下:1)中缀表达式,从文本文件读入,每一行存放一个表达式,为了降低难度,表达式采用常数表达式;2)利用结合语法制导翻译的算符优先分析,构造逆波兰式;3)利用栈计算出后缀式的结果,并输出;四、实验环境PC微机DOS操作系统或Windows 操作系统Turbo C 程序集成环境或Visual C++ 程序集成环境#include<math.h>using namespace std;#define max 100char ex[max];int n;char GetBC(FILE* fp) {//读取文件的字符直至ch不是空白c har ch;d o {ch = fgetc(fp);} while (ch == ' ' || ch == '\t' || ch == '\n');r eturn ch;}void acquire(FILE* fp){c har str[max];c har stack[max];c har ch;i nt sum, i, j, t, top = 0;i = 0;/*读取一行表达式*/G etBC(fp);i f (feof(fp))return;e lse {fseek(fp, -1L, 1);printf("\n(%d)", n);n++;}d o{i++;str[i] = GetBC(fp);} while (str[i] != ';' && i != max); s um = i;t = 1;i = 1;c h = str[i];i++;w hile (ch != ';'){switch (ch){case '(':top++; stack[top] = ch;break;case ')':while (stack[top] != '(') {ex[t] = stack[top];top--;t++;}top--;break;case '+':case '-':while (top != 0 && stack[top] != '(') {ex[t] = stack[top];top--;t++;}top++;stack[top] = ch;break;case '*':case '/':while (stack[top] == '*' || stack[top] == '/'){ex[t] = stack[top];top--;t++;}top++;stack[top] = ch;break;case ' ':break;default:while (ch >= '0'&&ch <= '9'){ ex[t] = ch;t++;/*ex[ ]中存放逆波兰式 */ch = str[i];i++;/*str[ ]中存放中缀表达式*/ }i--;ex[t] = ',';t++;break;}ch = str[i];i++;}/*当中缀表达式扫描完毕,检查ω栈是否为空,若不空则一一退栈*/w hile (top != 0) {ex[t] = stack[top];t++;top--;}e x[t] = ';';f or (j = 1; j < sum; j++)printf("%c", str[j]);p rintf("\n输出:");f or (j = 1; j < t; j++)printf("%c", ex[j]);}void getValue() {f loat stack[max], d;c har ch;i nt t = 1, top = 0;c h = ex[t];t++;w hile (ch != ';'){switch (ch){case '+':stack[top - 1] = stack[top - 1] + stack[top];top--;break;case '-':stack[top - 1] = stack[top - 1] - stack[top];top--;break;case '*':stack[top - 1] = stack[top - 1] * stack[top];top--;break;case '/':if (stack[top] != 0)stack[top - 1] = stack[top - 1] / stack[top];else{printf("除零错误\n");break;/*异常退出*/}top--;break;/*将数字字符转化为对应的数值*/ default:d = 0;while (ch >= '0'&&ch <= '9') {d = 10 * d + ch - '0';ch = ex[t];t++;}top++;stack[top] = d;}ch = ex[t];t++;}p rintf("\t%g\n", stack[top]);}void main() {F ILE* fp;e rrno_t err;i f ((err = fopen_s(&fp,"C:\\Users\\Administrator\\Desktop\\e xpression.txt", "r")) != NULL){ //以只读方式打开文件,失败则退出程序printf("file can not open!");exit(0);}n = 1;p rintf("逆波兰式的翻译和计算结果如下:\n");w hile (1) {acquire(fp);if (feof(fp)) break;getValue(); }f close(fp);f p = NULL;}实验结果:问题:这次实验较之之前不同,在设计算法与数据结构上花的时间较少,因为之前在数据结构课程里做过使用堆栈完成表达式的计算,也学过中缀式和后缀式,所以代码编得较快,但是其中的算法其实是较复杂的,调试时显得更复杂而编程时我用的是VS,在调试开始时,断点是不能增加的,这样影响了调试的进度,其实之前做实验就注意到了,只是没有特别在意,但这个实验的算法较复杂,断点设得较多,这让我想到使用JAVA,也许使用java开发会更容易,调试的问题也可以解决,主要是使用现在对于C++的熟练程度远不如Java,如果能充分使用类和对象的特点,各种算法的实现将更加有条理,更易读易修改。
实验6 孚尔根反应
中国海洋大学实验报告姓名:常天易系年级:海洋生命学院2012级专业:生物科学科目:分子细胞生物学实验学号:12050011006孚尔根反应一、实验目的1.熟悉并掌握孚尔根反应的原理及其实验操作方法2.对细胞的组织化学研究方法有一初步的认识二、实验原理DNA的嘌呤碱基经稀HCl水解后产生的醛基,具有还原作用。
Schiff试剂(脱色碱性品红试剂)中的无色品红可与醛基反应,形成含有醌基的化合物分子,显现出紫红色,进而显示出DNA的分布情况,而且可以通过DNA被染色的颜色的深度显示其含量的多少。
细胞质会亮绿染液被染成绿色,已显示出富含DNA的细胞核的位置。
三、实验材料①实验试剂1、Schiff 试剂2、1mol/L 盐酸3、1%亮绿染液4、二甲苯Ⅰ、二甲苯Ⅱ5、30%、50%、70%、85%、95%、100%的乙醇水溶液6、亚硫酸水(现配现用)②实验材料用carnoy 固定液固定的鱼肝脏切片;③实验设备显微镜、立式染色缸、恒温水浴锅、镊子、擦镜纸,盖玻片;四、实验步骤1、 取3个已制备的鱼肝脏切片,经二甲苯Ⅰ浸泡20分钟 ,二甲 1、 取3个已制备的鱼肝脏切片,经二甲苯Ⅰ浸泡20分钟 ,二甲苯Ⅱ浸泡10分钟。
2、 依次经100%(Ⅰ)、95%、85%、70%、50%、30%的乙醇溶液浸泡5分钟。
3、将标本放入蒸馏水浸泡5分钟。
4、将标本放入1mol/L盐酸的染缸5~10秒。
5、将标本放入60℃的1mol/L 盐酸水解8分钟。
6、将标本放入1mol/L 盐酸的染缸5~10秒。
7、将标本用蒸馏水浸泡,3次。
8、将标本放入Schiff 试剂中染色1小时。
9、将标本用亚硫酸水洗3次,每次2分钟。
10、将标本用蒸馏水洗3次,每次3分钟。
11、将标本用亮绿染色,各个标本分别染色20秒、30秒、40秒。
12、将标本用蒸馏水洗2次,每次浸泡3分钟。
13、将标本依次用30%、50%、70%、85%、95%、100%(Ⅰ)、100%(Ⅱ) 的乙醇溶液浸泡3分钟。
实验报告参考6篇
实验报告参考6篇实验报告参考1为期二周的钳工实训结束了,在实训期间虽然很累,但我们很快乐,因为我们在学到了很多很有用的东西的同时还锻炼了自己的动手能力。
虽然实训期只有短短的两周,在我们三年的大学生活中它只是小小的一部分,却是非常重要的一部分,对我们来说,它是很难忘记的,毕竟是一次真正的体验社会、体验生活。
要进行钳工实训,安全问题肯定是摆在第一位的。
通过师傅的讲解,我们了解了实训中同学们易犯的危险的操作动作。
比如在车间里打闹嬉戏,不经师傅的许可便私自操作机床,以及操作时方法、姿势不正确,等等。
一个无意的动作或是一个小小的疏忽,都可能导致机械事故甚至人身安全事故。
通过这次钳工实训,我了解了金属加工的基本知识、基本操作方法。
主要学习了以下几方面的知识:金属加工基本工种包括钳工、车工、铸焊工等的操作。
第一项:辛苦的钳工在钳工实训中,我们知道了钳工的主要内容为刮研、钻孔、攻套丝、锯割、锉削、装配、划线;了解了锉刀的构造、分类、选用、锉削姿势、锉削方法和质量的检测。
首先要正确的握锉刀,锉削平面时保持锉刀的平直运动是锉削的关键,锉削力有水平推力和垂直压力两种。
锉刀推进时,前手压力逐渐减小后手压力大则后小,锉刀推到中间位置时,两手压力相同,继续推进锉刀时,前手压力逐渐减小后压力加大。
锉刀返回时不施加压力。
这样我们锉削也就比较简单了。
同时我也知道了钳工的安全技术为:1,钳台要放在便于工作和光线适宜的地方;钻床和砂轮一般应放在场地的边缘,以保证安全。
2,使用机床、工具(如钻床、砂轮、手电钻等),要经常检查,发现损坏不得使用,需要修好再用。
3,台虎钳夹持工具时,不得用锤子锤击台虎手柄或钢管施加夹紧力。
接着便是刮削、研磨、钻孔、扩孔、攻螺纹等。
虽然不是很标准,但却是我们汗水的结晶,是我们两天来奋斗的结果钳工的实训说实话是很枯燥的,可能干一个上午却都是在反反复复着一个动作,还要有力气,还要做到位,那就是手握锉刀在工件上来来回回的锉,锉到中午时,整个人的手都酸疼酸疼的,腿也站的有一些僵直了,然而每每累时,却能看见老师在一旁指导,并且亲自示范,他也是满头的汗水,气喘呼呼的,看到这每每给我以动力。
实验报告6篇
实验报告6篇实验报告 (1) 利用混合物中各组分在某种溶剂中的溶解度不同,而使它们相互分离;一般过程:1、选择适宜的溶剂:①不与被提纯物起化学反应;②温度高时,化合物在溶剂中的溶解度大,室温或低温时溶解度很小;而杂质的溶解度应该非常大或非常小;③溶剂沸点较低,易挥发,易与被提纯物分离;④价格便宜,毒性小,回收容易,操作安全;2、将粗产品溶于适宜的热溶剂中,制成饱和溶液:如溶质过多则会成过饱和溶液,会有结晶出现;如溶剂过多则会成不饱和溶液,会要蒸发掉一部分溶剂;3、趁热过滤除去不溶性杂质,如溶液颜色深,则应先用活性炭脱色,再进行过滤;4、冷却溶液或蒸发溶液,使之慢慢析出结晶,而杂质留在母液中或杂质析出,而提纯的化合物则留在溶液中;5、过滤:分离出结晶和杂质;6、洗涤:除去附着在晶体表面的母液;7、干燥结晶:若产品不吸水,可以放在空气中使溶剂自然挥发;不容易挥发的溶剂,可根据产品的性质采用红外灯烘干或真空恒温干燥器干燥,特别是在制备标准样品和分析样品以及产品易吸水时,需将产品放入真空恒温干燥器中干燥;实验报告 (2)例一定量分析实验报告格式(以草酸中h2c2o4含量的测定为例)实验题目:草酸中h2c2o4含量的测定实验目的:学习naoh标准溶液的配制、标定及有关仪器的使用;学习碱式滴定管的使用,练习滴定操作。
实验原理:h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。
常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105,可在水溶液中一次性滴定其两步离解的h+:h2c2o4+2naoh===na2c2o4+2h2o计量点ph值8.4左右,可用酚酞为指示剂。
naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾标定:-cook-cooh+naoh===-cook-coona+h2o此反应计量点ph值9.1左右,同样可用酚酞为指示剂。
实验方法:一、naoh标准溶液的配制与标定用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。
计算机网络安全实验6_社会工程学工具包、Kali社工包构造google钓鱼网站
《计算机网络安全》实验报告实验序号: 6 实验项目名称:社会工程学工具包Kali社工包构造google钓鱼网站学号姓名专业班级20网工实验地点指导教师实验时间2022 Kali社工包构造google钓鱼网站一、【实验目的】利用set工具包克隆google登陆界面,欺骗目标主机登录google,获取到帐号和密码二、【实验原理】社会工程学工具包是一个叫devolution的项目,是基于python的开源工具,主要功能是利用社会工程进行渗透测试,是最常见的用来进行社会攻击的工具。
在实施渗透的场景中,除了在发现软硬件的漏洞并实施攻击之外最有效的方法就是洞察对方的思想并获得所有与之相关的第一手信息。
这个渗透技巧被叫做社会工程学攻击。
三、【实验环境】2022年12月30日晚上10:41曲靖市马龙区132.100.1.四、【实验步骤】1.Setoolkit 制作钓鱼网站,欺骗目标主机登录goog1le,获取到帐号和密码1.1 单击桌面空白处,右键菜单选择"在终端中打开"。
如图1.2在终端中输入命令" setoolkit ”。
如图1.3 弹出社会工程学攻击包(setoolkit )菜单。
如图1.4钓鱼攻击是一种欺骗特定目标使之误以为自己所看到的东西是真实可信的攻击方式。
在提示符行输入"1”,选择社会工程学攻击。
如图4所示1.5弹出社会工程学菜单。
如图5所示1.6在提示符行输入"2”,克隆一个网站用于针对网站的攻击。
如图6所示1.7弹出发动攻击载荷模板。
如图7所示1.8在提示符行输入"3”,选择Credential Harvester Attack Method ,制作一个钓鱼网站模板。
如图1.9 弹出设置网站模板选择界面。
如图9所示1.10在实际应用中,我们一般选择第二项(克隆网站),因实验环境限制,输入"1”。
如图1.11输入返回连接地址"192.168.1.2”。
实验5-6报告
实验五加减法电路一、实验目的掌握一位全加器的实现逻辑,掌握多位可控加减法电路的实现逻辑,熟悉Logisim 平台基本功能,能在 logisim 中实现多位可控加减法电路。
二、实验内容在 Logisim 模拟器中打开 alu.circ 文件,在对应子电路中利用已经封装好的全加器设计8位串行可控加减法电路,其电路引脚定义如图所示,用户可以直接使用在电路中使用对应的隧道标签,其中 X,Y 为两输入数,Sub 为加减控制信号,S 为运算结果输出,Cout 为进位输出,OF 为有符号运算溢出位。
三、电路框架相关引脚说明:四、实验结果(1)请描述你的电路设计原理(文字),比如用到了整体哪些元件,元件的输入和输出分别是什么,使用该元件的作用或目的是什么。
电路设计原理:将8个一位全加器FA的进位链串联即可得到8位加法器,由于补码符号位也可以参与运算,所以此电路既可以用于有符号数运算,也可以用于无符号数运算,但二者在溢出检测上有一定区别,这里OF的判定以有符号数加法运算是否溢出为标准。
溢出检测:根据运算过程中,最高数据位的进位与符号位的进位位是否一致进行检测。
V= Cd xor Cf。
sub = 0,执行减法操作。
0和二进制数异或运算得到其本身,然后通过一位全加器FA执行加法运算。
sub = 1,执行减法操作。
1和二进制数异或运算相当于对二进制数进行取反操作,然后将sub = 1,传入FA进行+1操作。
(由[y]补求[-y]补,全部位取反后加一)。
高位进位的产生依赖于低位进位的输入,串行进位加法器的速度较慢。
输入:操作数1X的8位数据X7-X0,操作数2Y的八位数据Y7-Y0。
最低位进位Cin,加减法控制项Sub。
输出:运算结果S的八位数据S7-S0,最高位进位Cout,有符号运算溢出判断OF。
(2)改变输入组合如下所示9种情况,观察输出结果是否符合(贴图)。
1.X Y Sub S Cout OF2.1021031003.7f02081014.ff fe 0 fd 105.8182003116.10 df 131007.7f fe 181018.ff 021 fd 109.817e1031123456789实验六快速加法器设计电路一、实验目的掌快速加法器中先行进位的原理,能利用相关知识设计4位先行进位电路,并利用设计的4位先行进位电路构造4位快速加法器,能分析对应电路的时间延迟。
物理学实验报告——移位寄存器及其应用
实验六项目名称:移位寄存器及其应用一、实验目的1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。
2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。
二、实验设备1、数字电子技术实验箱2、CC40194×2(74LS194)三、实验内容及步骤1 、测试CC40194(或74LS194)的逻辑功能按图6-5接线,R C、S1、S0、S L、S R、D0、D1、D2、D3分别接至逻辑开关的输出插口;Q0、Q1、Q2、Q3接至逻辑电平显示输入插口。
CP端接单次脉冲源。
按图6-5 CC40194逻辑功能测试(1)清除:令R C=0,其它输入均为任意态,这时寄存器输出Q0、Q1、Q2、Q3应均为0。
清除后,置R C=1 。
(2)送数:令R C=S1=S0=1 ,送入4位二进制数,如令:D0D1D2D3=1001,加CP脉冲,此时Q0、Q1、Q2、Q3输出状态为:1001 。
(3)右移:令R C=1,S1=0,S0=1,然后右移输入端S R送入二进制数码如0,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:0100 ;紧接着,右移输入端S R送入二进制数码如1,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:1010 ;紧接着,右移输入端S R送入二进制数码如0,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:0101 ;紧接着,右移输入端S R送入二进制数码如0,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:0010 。
(4) 左移:先令R C=0进行清零,再令R C=1,S1=1,S0=0,然后左移输入端S L送入二进制数码如1,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:0001 ;紧接着,左移输入端S L送入二进制数码如1,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:0011 ;紧接着,左移输入端S L送入二进制数码如1,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:0111 ;紧接着,左移输入端S L送入二进制数码如1,加CP脉冲,此时Q0、Q1、Q2、Q3显示为:1111 。
大学计算机实验6 实验报告
大学计算机实验6 实验报告一、实验目的本次大学计算机实验 6 的目的在于深入了解和掌握计算机系统中的某些关键技术和应用,通过实际操作和实践,提高我们对计算机知识的理解和应用能力,培养我们解决实际问题的思维和方法。
二、实验环境本次实验在学校的计算机实验室进行,使用的计算机配置为_____,操作系统为_____,安装了所需的实验软件,包括_____等。
三、实验内容(一)操作系统的基本操作1、文件和文件夹的管理熟练掌握了文件和文件夹的创建、复制、移动、删除、重命名等操作。
通过实际操作,了解了文件和文件夹的属性设置,如只读、隐藏等,以及如何查找和筛选特定的文件和文件夹。
2、任务管理器的使用学会了使用任务管理器查看系统中正在运行的进程、CPU 和内存的使用情况。
能够通过任务管理器结束无响应的进程,优化系统资源的分配。
(二)办公软件的应用1、 Word 文档的编辑使用 Word 进行了文档的排版,包括字体、字号、颜色、段落格式的设置。
学会了插入图片、表格、页眉页脚等元素,以及如何进行文档的页面设置和打印预览。
2、 Excel 数据处理在 Excel 中,掌握了数据的输入、编辑和格式化。
学会了使用函数和公式进行数据的计算和统计,如求和、平均值、最大值、最小值等。
还掌握了数据的排序、筛选和图表的创建,能够将数据以直观的方式呈现出来。
(三)网络应用1、浏览器的使用熟悉了常用浏览器的操作,如网页的浏览、书签的添加和管理、历史记录的查看等。
学会了设置浏览器的主页、隐私和安全选项。
2、电子邮件的收发通过实验,掌握了电子邮箱的注册和设置,能够熟练地发送和接收电子邮件,包括添加附件、设置邮件格式和优先级等。
(四)多媒体软件的使用1、图片处理软件使用图片处理软件对图片进行了裁剪、调整大小、色彩调整、添加文字和特效等操作,提高了图片的质量和美观度。
2、音频和视频播放软件学会了使用音频和视频播放软件播放各种格式的文件,掌握了播放控制、音量调节、画面调整等基本操作。
湖南省湘西州吉首市初中生物实验教学质量抽查 实验6《观察花的结构》
初中生物实验6《观察花的结构》科目 初中生物 实验 名称观察花的结构实验 目的 1. 认识花的基本结构。
2. 学会观察花的结构的方法。
材料 用具 桃花(或其他类似的花)、镊子、刀片、放大镜、胶带(透明胶或双面胶).实验步骤1. 用镊子从外到内依次摘下萼片、花瓣、雄蕊和雌蕊;仔细观察雄蕊和雌蕊的结构特点。
2. 用镊子夹开一个花药,用放大镜观察花药里面的花粉。
用刀片纵向剖开子房,用放大镜观察子房里面的胚珠。
3. 将花的各个结构依次粘贴在对应位置。
实验 记录萼片花瓣 雄蕊 雌蕊请将摘下的花的各个结构粘贴在下方表格中的相应位置,并标出雌蕊和雄蕊的组成结构。
初中生物实验6 《观察花的结构》学生实验报告学校学生姓名实验名称观察花的结构实验步骤1.用,按照的顺序,依次摘下萼片、花瓣、雄蕊和雌蕊;仔细观察雄蕊和雌蕊的结构特点。
2.用夹开一个花药,观察花药里面的。
3.用纵向剖开子房,观察子房里面的。
4.将花的各个结构粘贴在对应位置。
实验记录请将摘下的花的各个结构粘贴在下方表格中的相应位置,并标出雌蕊和雄蕊的组成结构。
萼片花瓣雄蕊雌蕊得分初中生物实验6《观察花的结构》学生实验报告评分标准实验名称观察花的结构实验步骤(10分)1.用镊子,按照从外向内的顺序依次摘下萼片、花瓣、雄蕊和雌蕊;仔细观察雄蕊和雌蕊的结构特点。
(2分)2.用镊子夹开一个花药,观察花药里面的花粉。
(4分)3.用刀片纵向剖开子房,观察子房里面的胚珠。
(4分)4.将花的各个结构依次粘贴在对应位置。
实验记录(20分)请将摘下的花的各个结构粘贴在下方表格中的相应位置(标出雌蕊和雄蕊的组成结构)。
萼片(2分)花瓣(2分)雄蕊(8分)雌蕊(8分)初中生物实验6《观察花的结构》学生操作评分表学校学生姓名得分活动内容和步骤评分细则分值得分情况记录解剖花的结构按从外到内的顺序观察(6分)。
34 用镊子(2分)摘下萼片(5分)。
用镊子(2分)摘下花瓣(5分)。
用镊子(2分)摘下雄蕊(5分)。
实验报告单6
学科:生物年级:八年级姓名:
日期:实验地点:生物实验室成绩:
实验内容:观察鸟卵的结构
实验目的:
1、认识鸟卵的结构,明确每个结构的功能。
2、通过观察和讨论,能够说出鸟卵适于陆地上发育的结构特点。
3、在探究活动中,使学生学会科学探究的方法,提高科学探究的能力。
4、通过实验活动,培养学生关心爱护鸟类的情感。
③用镊子进一步扩大乱可得破口,将气室下面透明的一层薄膜剪破,将其内容物缓慢的倒入培养皿中,对照课本P51页图仔细观察鸡蛋的卵白、卵黄、系带等各部分结构。
④观察卵黄上有没有小白点,思考:小白点是什么结构?
⑤用牙签先轻轻按压卵黄,再把卵黄刺破并拨出来,感受卵黄膜的存在。
实验记录及结论:
实验反思及建议:
实验所需设备:新鲜鸡蛋、培养皿、镊子、牙签等
实验步骤:1、看形状
观察鸡蛋的形状,思考:这种形状(一头,一头尖)有什么意义?
2、探卵壳
单手握鸡蛋,看看会不会轻易捏碎,思考是什么结构在起作用?
3、观察鸡蛋的内部结构
①用镊子后端将卵壳钝端轻轻敲出裂痕,用镊子剥开卵壳。观察白色的卵壳膜(几层)?
②用镊子小心除去外层卵壳膜,可见一小室,这就是气室。
实验6_状态反馈与状态观测器.doc
实验6_状态反馈与状态观测器自动控制原理实验报告自动控制原理实验报告院系名称:仪器科学与光电工程学院班级:141715班姓名:武洋学号:14171073实验六状态反馈与状态观测器一、实验目的1. 掌握用状态反馈进行极点配置的方法。
2. 了解带有状态观测器的状态反馈系统。
3. 理解系统极点、观测器极点与系统性能、状态估计误差之间的关系。
二、实验内容1. 系统G(s)=10.05s2+s+1如图2.6.1所示,要求设计状态反馈阵K,使动态性能指标满足超调量,峰值时间。
图2.6.1二阶系统结构图2.被控对象传递函数为写成状态方程形式为式中; ;为其配置系统极点为S1,2=-仪器科学与光电工程学院班级:141715班姓名:武洋学号:14171073实验六状态反馈与状态观测器一、实验目的1. 掌握用状态反馈进行极点配置的方法。
2. 了解带有状态观测器的状态反馈系统。
3. 理解系统极点、观测器极点与系统性能、状态估计误差之间的关系。
二、实验内容1. 系统G(s)=10.05s2+s+1如图2.6.1所示,要求设计状态反馈阵K,使动态性能指标满足超调量,峰值时间。
图2.6.1二阶系统结构图2.被控对象传递函数为写成状态方程形式为式中; ;为其配置系统极点为S1,2=:其中维状态反馈系数矩阵,由计算机算出。
维观测器的反馈矩阵,由计算机算出。
为使跟踪所乘的比例系数。
三、实验原理1. 闭环系统的动态性能与系统的特征根密切相关,在状态空间的分析中可利用状态反馈来配置系统的闭环极点。
这种校正手段能提供更多的校正信息,在形成最优控制率、抑制或消除扰动影响、实现系统解耦等方面获得广泛应用。
在改善与提高系统性能时不增加系统零、极点,所以不改变系统阶数,实现方便。
2. 已知线形定常系统的状态方程为为了实现状态反馈,需要状态变量的测量值,而在工程中,并不是状态变量都能测量到,而一般只有输出可测,因此希望利用系统的输入输出量构成对系统状态变量的估计。
实验六SDS实验报告
实验六SDS实验报告1. 实验目的本实验旨在通过测定表面活性剂十二烷基硫酸钠(SDS)的临界胶束浓度和表面张力,探究SDS在水溶液中的表面活性行为,并了解其对化学反应的影响。
2. 实验器材与试剂- 器材:电子天平、试剂瓶、磁力搅拌器、扩散管、毛细管- 试剂:SDS、高纯水、乙醇3. 实验原理SDS是一种阴离子表面活性剂,可降低液体表面的表面张力。
在水溶液中,SDS分子会聚合形成胶束,当胶束的浓度达到一定程度时,称为临界胶束浓度(CMC)。
4. 实验步骤4.1 测定临界胶束浓度(CMC)4.1.1 预处理检测毛细管- 用高纯水冲洗毛细管,确保其内外无气泡。
- 用乙醇洗净毛细管,提高其润湿性能。
4.1.2 制备一系列浓度的SDS溶液- 分别称取不同质量的SDS,溶解于一定体积的高纯水中,得到不同浓度的SDS溶液。
4.1.3 填充扩散管- 将预处理好的毛细管插入扩散管中,通过磁力搅拌器搅拌,保持溶液的均匀性。
- 用一定质量的SDS溶液填充扩散管。
4.1.4 扩散实验- 在一个固定温度下,记录SDS溶液从毛细管开始扩散到溶液终点的时间。
- 重复实验,取平均值。
4.1.5 绘制扩散时间与SDS浓度的曲线- 将浓度作为横坐标,扩散时间作为纵坐标。
- 根据曲线的拐点,确定临界胶束浓度。
4.2 测定表面张力4.2.1 准备SDS溶液- 用高纯水配制一定浓度的SDS溶液。
4.2.2 表面张力计测定- 将表面张力计的叶片浸入SDS溶液中。
- 阅读并记录表面张力计上的数值。
5. 实验结果与分析5.1 CMC的确定- 根据实验数据,绘制SDS浓度与扩散时间的曲线。
- 通过拐点的位置确定CMC的值。
5.2 表面张力的测定- 通过实验测得的表面张力值,分析SDS溶液的表面活性。
6. 结论- 经过实验测定,确定了SDS的临界胶束浓度。
- 测定了SDS溶液的表面张力,了解了SDS在溶液中的表面活性行为。
7. 实验中的注意事项- 实验过程中应注意安全,避免有害物质的接触。
实验6高频功率放大器
实验6⾼频功率放⼤器太原理⼯⼤学现代科技学院⾼频电⼦线路课程实验报告专业班级测控14-4学号2014101XXX姓名XXXXXXXX指导教师XXXXXXX实验名称⾼频功率放⼤器同组⼈专业班级测控14-4姓名 XXX 学号201410XXX 成绩实验六⾼频功率放⼤器6.1⾼频功率放⼤器基本⼯作原理⼀、⾼频功率放⼤器的原理电路⾼频功放的电原理电路图如图7-1所⽰(共发射极放⼤器)它主要是由晶体管、LC 谐振回路、直流电源C E 和b E 等组成,b U 为前级供给的⾼频输出电压,也称激励电压。
⼆、⾼频功率放⼤器的特点1、⾼频功率放⼤器通常⼯作在丙类(C 类)状态。
通⾓θ的定义:集电极电流流通⾓度的⼀半叫通⾓θ。
甲类(A 类)θ=180度,效率约50%;⼄类(B 类)θ=90度,效率可达78%;甲⼄类(AB 类)90<θ<180度,效率约50%可以推测,继续减⼩θ,使θ⼯作到⼩于90度,丙类效率将继续提⾼。
2、⾼频功放率放⼤器通常采⽤谐振回路作集电极负载由于⼯作在丙类时集电极电流c i 是余弦脉冲,因此集电极电流负载不能采⽤纯电阻,……………………………………装………………………………………订…………………………………………线……………………………………………………………装………………………………………订…………………………………………线……………………………………………………………………………装………………………………………订…………………………………………线………………………………………⽽必须接⼀个LC 振荡回路,从⽽在集电极得到⼀个完整的余弦(或正弦)电压波。
c i 可⽤傅⾥叶级数展开:......3cos 2cos cos ......m 3m 2121++++=+++=wt I wt I wt I I i i I i C C m C CO c c co c式中,m C I 1、m 2c I 为基波和各次谐波的振幅。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA基础及应用实验项目报告项目题目:4×4矩阵键盘控制实验姓名:院系:专业:学号:指导教师:综合成绩:完成时间: 2014 年11月26日(1)、项目实验内容摘要基于FPGA硬件开发板,利用QuartusII9.1通过VHDL设计并实现一个4×4键盘接口控制器,含有时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路、按键码存储电路、显示电路。
在EL-SOPC4000型实验箱上实现当按下某一键时,4位LED上显示对应的键值。
(2)、项目实验项目源代码LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL; ENTITY DEBOUNCING ISPORT(clk, key:IN STD_LOGIC ;clr: IN STD_LOGIC;dly_out, dif_out: OUT STD_LOGIC);END DEBOUNCING; ARCHITECTURE a OF DEBOUNCING IS SIGNAL sample,dly,diff: STD_LOGIC; BEGINfree_counter:blocksignal QQ:std_logic_vector(4 downto 0);signal d0:std_logic;beginprocess (CLR,clk)beginif clr='0' thend0<='0';QQ<=(OTHERS=>'0');ELSif clk'event and clk='1' thend0<=QQ(4); --QQ 的最高位同时作为d0信号,即d0的周期为2的5次方个clk.QQ<=QQ+1;end if;end process;sample<=not(QQ(4) and (not d0));--当d0为0,QQ(4)为1时,sample产生采样脉冲,低电平时间为1个clkend block free_counter;debunce:blocksignal d0,d1,s,r:std_logic;beginprocess(clk,clr)beginif clr='0' thendly<='0';elsif rising_edge(clk) thenif sample='1' thend1<=d0;d0<=key;s<=d0 and d1;r<=not d0 and not d1;if s<='0' and r<='0' thendly<=dly;elsif s<='0' and r<='1' thendly<='0';elsif s<='1' and r<='0' thendly<='1';elsedly<='0';end if;end if;end if;end process;dly_out<=dly;end block debunce;differential:blocksignal d1,d0:std_logic; beginprocess(clk,clr)beginif clr='0' thend0<='0';d1<='0';elsif rising_edge(clk) thend1<=d0;d0<=dly;end if;diff<=d0 and not d1;end process;dif_out<=diff;end block differential;END a;--****************************************************************** --* 4x4标准键盘板读取并点亮实验箱底板上的L1-L4--* Filename: keyboard4_4--* 扫描键盘,译码并点亮实验箱底板上的L1-L4--* 已加入去抖程序--******************************************************************library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity keyboard4_4 isport(rst : in std_logic;clk_in : in std_logic;keyin : in std_logic_vector(3 downto 0);scan : out std_logic_vector(3 downto 0);leds : out std_logic_vector(3 downto 0);state : out std_logic;M : out std_logic_vector(3 downto 0));end keyboard4_4;architecture keyboard4_4_arch of keyboard4_4 is----************************************ *********component debouncingport( k ey : IN STD_LOGIC ;clk,clr : IN STD_LOGIC ;dly_out : OUT STD_LOGIC ) ;end component ;--************************************ *********--signal clkfrq : std_logic;signal cntscn : std_logic_vector(1 downto 0);signal scnlin : std_logic_vector(3 downto 0);signal cntfrq : std_logic_vector(14 downto 0);signal lednum : std_logic_vector(7 downto 0);signal key_tmp :std_logic_vector(3 downto 0);signal clk : std_logic;signal cntfrq1 : std_logic_vector(5 downto 0);beginM <= "0101"; --键盘功能选择scan <= not scnlin;lednum <= scnlin & (not key_tmp); -- key_tmp <= keyin;--debounuing cktdebounuing : blockbeginU1: debouncing PORT MAP (KEY => keyin(0) ,DL Y_OUT => key_tmp(0) ,clr=>rst,clk => CLK);U2: debouncing PORT MAP (KEY => keyin(1) ,dly_out => key_tmp(1) ,clr=>rst,clk => CLK);U3: debouncing PORT MAP (key => keyin(2) ,dly_out => key_tmp(2) ,clr=>rst,clk => CLK);U4: debouncing PORT MAP (key => keyin(3) ,dly_out => key_tmp(3) ,clr=>rst,clk => CLK);END block debounuing ;----************************************ ******************--process(rst,clk_in) -- 晶振为40MHz,进行40000分频产生去抖时钟(1000Hz) beginif rst = '0' thencntfrq <= (others => '0');elsif rising_edge(clk_in) thenif (cntfrq = "100111000011111" or not (key_tmp="1110" or key_tmp="1101"or key_tmp="1011" or key_tmp="0111") ) then--if (cntfrq = "100111000011111" or key_tmp="1111" ) then--if cntfrq = "1111" thencntfrq <= (others => '0');clk <= not clk;--去抖时钟elsecntfrq <= cntfrq + 1;end if;end if;end process;process(rst,clk) --去抖时钟,50分频,形成扫描时钟beginif rst = '0' thenclkfrq <= '0';cntfrq1 <= (others => '0');elsif rising_edge(clk) thenif cntfrq1 = "11000" thencntfrq1 <= (others => '0');clkfrq <= not clkfrq;elsecntfrq1 <= cntfrq1 + 1;end if;end if;end process;process(rst,clkfrq) -- 根据扫描时钟产生扫描线beginif rst = '0' thencntscn <= "00";elsif rising_edge(clkfrq) thenif cntscn = "11" thencntscn <= "00";elsecntscn <= cntscn+1;end if;case cntscn iswhen "00" => scnlin <= "0001";when "01" => scnlin <= "0010";when "10" => scnlin <= "0100";when "11" => scnlin <= "1000";when others => null;end case;end if;end process;process(rst, clkfrq) -- 根据按键点亮相应的ledsbeginif(rst = '0' ) thenleds <= "0000";elsif clkfrq'event and clkfrq = '0' thencase lednum iswhen "10001000" =>leds <= "0001";--1when "01001000" =>leds <= "0010";--2when "00101000" =>leds <= "0011"; --3when "00011000" =>leds <= "1010"; --Awhen "10000100" =>leds <= "0100"; --4when "01000100" =>leds <= "0101"; --5when "00100100" =>leds <= "0110"; --6when "00010100" =>leds <= "1011"; --Bwhen "10000010" =>leds <= "0111"; --7when "01000010" =>leds <= "1000"; --8when "00100010" =>leds <= "1001"; --9when "00010010" =>leds <= "1100"; --Cwhen "10000001" =>leds <= "1110";--*when "01000001" =>leds <= "0000";--0when "00100001" =>leds <= "1111"; --#when "00010001" =>leds <= "1101"; --Dwhen others =>null;end case;end if;end process;process(rst,key_tmp)beginif(rst = '0' ) thenstate <= '1';elsif (key_tmp="1110" or key_tmp="1101" or key_tmp="1011" or key_tmp="0111") thenstate <= '0';elsif (key_tmp="1111") thenstate <= '1';end if;end process;end keyboard4_4_arch;(3)、项目实验工具软件的选用以及实验过程本次试验我们选用了QuartusII9.1软件,EL-SOPC4000型实验硬件箱。