跑马灯课程设计说明书模板

合集下载

跑马灯设计 说明

跑马灯设计 说明

基于VHDL的跑马灯设计说明1.设计思路:通过给定系统一个时钟,来控制8个LED灯的亮暗,灯亮暗的快慢可以通过改变给定的系统时钟频率。

2.功能分析:通过一个中间变量flag的状态来改变灯亮暗的方式,此次设计灯亮暗的方式总共有3种:(1)灯从左到右依次点亮,然后再从右到左依次熄灭;(2)灯从中间2个开始向两边亮,然后再从两边向中间熄灭;(3)灯按照7…4,3…0亮,其中最初7和3 亮,然后6和2亮…..以此类推,最后全部熄灭,进入下一轮循环。

3.模式选择:选择的为模式5。

4. 引脚锁定如下:灯对应实验板上从左到右为:light7,light6,……light0;Clk1对应实验板上clock05. 程序说明:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity light isport(clk1: in std_logic; --系统给的时钟light: buffer std_logic_vector(7 downto 0));end light;architecture behv of light isconstant len: integer:=7; --定义一个常量signal banner: std_logic:='0'; --中间信号signal clk,clk2: std_logic;beginclk<=(clk1 and banner) or (clk2 and not banner);process(clk1)beginif clk1'event and clk1='1' then --clk1是上升沿时,clk2取反clk2<=not clk2;end if;end process;process(clk)variable flag: bit_vector(2 downto 0):="000";--定义一个状态变量flag;beginif clk'event and clk='1' then --clk是上升沿if flag="000" then --flag为000时,从左到右点亮light<='1' & light(len downto 1); --并置成8位if light(1)='1' then --状态变量flag改变flag:="001";end if;elsif flag="001" then -- flag为001时,从右到左熄灭light<=light(len-1 downto 0) & '0';if light(6)='0' thenflag:="010";end if;elsif flag="010" then -- flag为010时,从中间向两边点亮light(len downto 4)<=light(len-1 downto 4)&'1';light(len-4 downto 0)<='1'&light(len-4 downto 1);if light(1)='1' thenflag:="011";end if;elsif flag="011" then -- flag为011时,从两边向中间熄灭light(len downto 4)<='0'&light(len downto 5);light(len-4 downto 0)<=light(len-5 downto 0)&'0';if light(2)='0' thenflag:="100";end if;elsif flag="100" then -- flag为100时,按7,3先亮,6,2再亮…..light(len downto 4)<='1'&light(len downto 5);light(len-4 downto 0)<='1'&light(len-4 downto 1);if light(1)='1' thenflag:="101";end if;elsif flag="101" then -- flag为101时,全部熄灭light<="00000000";flag:="110";elsif flag="110" then --进入下一轮循环banner<=not banner;flag:="000";end if;end if;end process;end behv;注:以上是自己通过看书和向同学请教后对程序的理解,可能有不当之处,望老师可以进行指出,十分感谢。

微机原理跑马灯课设报告参考模板

微机原理跑马灯课设报告参考模板

微机原理课程设计说明书设计题目:跑马灯学院计算机科学与工程学院专业计算机科学与技术专业班级学生学号同组者指导教师2014 年春季学期目录一、实验简介 (3)1、基本要求 (3)2、设计提示 (3)3、进一步设计要求 (3)二、实验原理 (3)1、实验原理描述 (3)2、8254芯片 (4)2.1、8254芯片组成部分 (4)2.2、8254芯片工作方式 (4)3、8255芯片 (5)3.1、8255芯片的组成部分 (5)3.2、8255芯片工作方式 (6)4、实验原理图 (7)三、实验流程图与源程序 (7)1、流程图 (7)1.1、主程序流程图 (8)1.2 Appmain 子程序流程图 (8)1.3 灯跳转阶段流程图 (10)2、源程序(见附录) (11)四、实验结果与测试 (11)五、实验提高与扩展 (11)六、个人心得与体会 (12)附录 (13)一、实验简介:1、基本要求:采用8254精确定时,LED的点亮规律为LED7--->LED0,每一个LED的点亮时间间隔由逻辑电平开关K1、K2、K3选择,K1的时间间隔为0.5s,K2的时间间隔为1.0s,K3的时间间隔为1.5s。

当主机键盘按下任意键时停止演示。

2、设计提示:采用8255的A口接LED灯,B口接逻辑电平开关K1、K2与K3。

在8254的中断服务程序中完成对灯的控制,主程序检测灯的变化,改变点亮LED的时间间隔。

3、进一步设计要求:将LED的点亮规律变为LED7--->LED0--->LED7。

二、实验原理:1、实验原理描述:该实验中跑马灯采用8255和8254芯片来实现,利用8254芯片来做定时器,采用8254的方式3(方波发声器),因此在8254芯中采用级联的方法,以此来控制跑马灯中实现0.5s,1.0s,1.5s的效果片;在8255芯片中将A口当做输出口连接LED灯,B口一个输入口来掌控三个逻辑开关的打开与关闭,选择三种不同的时间间隔,C7口作为另一个输入口连接着8254芯片的OUT1口来读从8254芯片传来的三种不同大小的频率之一,以此来实现具有三种不同时间间隔跳转的跑马灯。

4017跑马灯课程设计

4017跑马灯课程设计

4017跑马灯课程设计一、课程目标知识目标:1. 学生能理解跑马灯的基本原理,掌握跑马灯电路的设计与搭建;2. 学生能运用所学知识,分析跑马灯电路中各个组件的作用及相互关系;3. 学生了解跑马灯在生活中的应用,提高对电子技术的认识和兴趣。

技能目标:1. 学生能独立完成跑马灯电路的搭建,提高动手操作能力;2. 学生能通过跑马灯电路的设计与制作,培养创新思维和问题解决能力;3. 学生能运用跑马灯项目,提高团队协作和沟通能力。

情感态度价值观目标:1. 学生通过跑马灯课程,培养对电子技术的热爱和好奇心,增强学习动力;2. 学生在跑马灯设计与制作过程中,树立自信心,勇于面对挑战,培养克服困难的意志;3. 学生在团队协作中,学会尊重他人,培养良好的合作精神和沟通能力。

课程性质:本课程为电子技术实践课程,结合理论知识与动手操作,培养学生的实际应用能力。

学生特点:四年级学生具有一定的电子技术基础,好奇心强,喜欢动手操作,但注意力容易分散,需要激发兴趣和引导。

教学要求:注重理论与实践相结合,强调学生动手操作和团队协作,注重培养学生的学习兴趣和创新能力。

通过跑马灯课程,使学生在实践中掌握知识,提高技能,培养情感态度价值观。

教学过程中,关注学生个体差异,因材施教,确保每个学生都能达到课程目标。

二、教学内容本课程教学内容围绕跑马灯电路的设计与制作展开,包括以下部分:1. 跑马灯原理及电路设计- 介绍跑马灯基本原理,引导学生理解电路工作过程;- 结合课本相关章节,讲解跑马灯电路的设计方法,分析电路中各组件的作用及选型。

2. 跑马灯电路搭建与调试- 指导学生进行跑马灯电路的搭建,培养学生的动手操作能力;- 引导学生根据电路原理图,连接电路,并调试电路,确保跑马灯正常工作。

3. 跑马灯程序编写与优化- 介绍跑马灯程序的编写方法,结合课本内容,使学生掌握编程技巧;- 引导学生优化程序,实现跑马灯的不同效果,培养学生的创新思维。

单片机跑马灯课程设计

单片机跑马灯课程设计

第一章概论随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。

LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。

但目前市场上各式样的 LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一。

这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。

此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。

因此有必要对现有的彩灯控制器进行改进。

本产品不仅具有电路简单,造价便宜,功耗低等优点,还有多种亮灯花样。

而且可以通过修改源程序中延迟程序的参数来改变亮灯速度和频率,还可以通过修改表中的数据来改变亮灯的方式,这便大大提高了产品的性能与灵活性,使产品不会局限于单一的功能而限制产品的适用范围。

课程设计是学完一门课后应用本课知识及以前的知识积累而进行的综合性、开放性的训练,是培养学生工程意识和创新能力的重要环节。

进一步巩固和加深“单片机”课程的基本知识,了解单片机设计知识在实际中的应用。

综合运用“单片机”课程和先修课程的理论及生产实际知识去分析和解决电路设计问题,进行单片机电路设计的训练。

学习单片机设计电路的一般方法,了解和掌握单片机电路的设计过程和进行方式,培养正确的设计思想和分析问题、解决问题的能力,特别是总体电路设计能力。

通过计算和绘图,学会运用标准、规范和查阅有关技术资料等,培养单片机电路设计的基本技能。

第二章设计方案2.1、设计目的学生在教师指导下运用所学课程的知识来研究、解决一些具有一定综合性问题的专业课题。

通过课程设计(论文),提高学生综合运用所学知识来解决实际问题、使用文献资料、及进行科学实验或技术设计的初步能力,为毕业设计(论文)打基础。

2.2、设计要求以MCS51单片机为核心,辅以外围接口电路,设计一个花样LED闪烁彩灯,使彩灯按事先编好的流程不断闪烁,并有不同种闪烁花样。

LED灯管可以使用共阳极,单片机芯片可采用AT89C52。

跑马灯课程设计c语言

跑马灯课程设计c语言

跑马灯课程设计c语言一、教学目标本课程的目标是让学生掌握C语言的基本知识,学会使用C语言编写简单的程序,培养学生的编程思维和解决问题的能力。

具体的学习目标包括:1.知识目标:学生能够理解C语言的基本概念,如变量、数据类型、运算符、控制结构等。

2.技能目标:学生能够运用C语言编写简单的程序,如计算器、排序算法等。

3.情感态度价值观目标:学生通过学习C语言,培养对计算机科学的兴趣,提高自我学习和解决问题的能力。

二、教学内容本课程的教学内容主要包括C语言的基本概念、语法和编程方法。

具体的教学大纲如下:1.第一章:C语言概述,介绍C语言的发展历程和特点。

2.第二章:数据类型和变量,讲解整型、浮点型、字符型数据以及变量的使用。

3.第三章:运算符和表达式,介绍算术运算符、关系运算符、逻辑运算符等。

4.第四章:控制结构,讲解顺序结构、选择结构和循环结构。

5.第五章:函数,介绍函数的定义、声明和调用。

6.第六章:数组和字符串,讲解一维数组、多维数组以及字符串的操作。

7.第七章:指针,介绍指针的概念和应用。

8.第八章:结构体和联合体,讲解结构体、联合体的定义和使用。

9.第九章:文件操作,介绍文件的概念和文件操作的方法。

三、教学方法本课程采用讲授法、讨论法和实验法相结合的教学方法。

1.讲授法:教师通过讲解C语言的基本概念、语法和编程方法,引导学生掌握知识。

2.讨论法:教师学生进行小组讨论,共同解决问题,培养学生的编程思维和团队协作能力。

3.实验法:教师指导学生进行上机实验,让学生通过实际操作巩固所学知识,提高编程能力。

四、教学资源本课程的教学资源包括教材、多媒体资料和实验设备。

1.教材:选用《C程序设计语言》作为教材,为学生提供系统的学习资料。

2.多媒体资料:制作课件、教学视频等,丰富教学手段,提高学生的学习兴趣。

3.实验设备:计算机实验室,为学生提供上机编程的场所。

五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分,以保证评估的客观性和公正性。

跑马灯课程设计

跑马灯课程设计
(1)P0口的P0.0~P0.7引脚(39~32脚):8位通用输入/输出端口和片外8位数据/低8位地址复用总线端口。
(2)P1口的P1.0~P1.7引脚(1~8脚):8位通用输入/输出端口。
(3)P2口的P2.0~P2.7引脚(28~21脚):8位通用输入/输出端口和片外高8位地址总线端口。
(4)P3口的P3.0~P3.7引脚(10~17脚):8位通用输入/输出端口,具有第二功能。
(3)RST/VPD(9脚):复位信号输入端。在该引脚上输入一定时间(约两个机器周期)的高电平将使单片机复位。该引脚的第二功能是VPD,即备用电源输入端。当主电源发生故障,降低到低电平规定值时,可将+5V备用电源自动接入VPD端,以保护片内RAM中的信息不丢失,使复电后能继续正常运行。
(4) /VPP(31脚):访问程序存储器控制信号/编程电源输入。当 保持高电平时,访问内部程序存储器,访问地址范围在0~4KB内;当PC(程序计数器)值超过0FFFH,即访问地址超出4KB时,将自动转向执行外部程序存储器内的程序;当 保持低电平时,不管单片机内部是否有程序存储器,则只访问外部程序存储器(从0000H地址开始)。由此可见,对片内有可用程序存储器的单片机而言, 端应接高电平,而对片内无程序存储器的单片机,可将 接地。
在AT89C51芯片内部有一个高增益反相放大器,用于构成振荡器。反相放大器的输入端为引脚XTAL1,输出端为引脚XTAL2,在芯片的外部通过这两个引脚跨接晶体振荡器和微调电容C1、C2形成反馈电路,可构成稳定的自激振荡器,振荡频率通常是1.2~12MHz。若晶体振荡器频率高,则系统的时钟频率也高,单片机的运行速度也就快
学习单片机的最有效方法就是理论与实践并重,现在我把单片机流水灯设计作为一个课程设计,需要更深的去了解单片机的很多功能,努力的去查找资料。本课题将以发光二极管作为发光器件,用单片机自动控制,实现一个简易的跑马灯设计。

跑马灯设计指导书

跑马灯设计指导书

跑马灯设计指导书一、设计内容编写程序,应用片内定时器产生1s的定时中断,使用P1口控制8个指示灯,循环点亮(瞬间只有一个灯亮)。

二、设计目的及要求(一)设计目的通过该综合性实验,使学生进一步熟悉集成环境软件的使用方法,掌握定时器及中断程序的编程及调试方法,能自己编写简单的程序,控制硬件。

(二)设计要求1.学生在实验课前必须认真预习教科书与指导书中的相关内容,绘制流程图,编写C51语言\汇编语言源程序,为实验做好充分准备。

2.该实验要求学生综合利用前期课程及本门课程中所学的相关知识点,充分发挥自己的个性及创造力,独立操作完成实验内容,并写出实验报告。

三、实验条件及要求计算机,C51语言\汇编语言编辑、调试仿真软件。

四、实验相关知识点1.单片机内部结构。

2.C51编程、调试。

3.定时器结构及应用。

4.片内中断应用。

五、实验原理图P1.01P1.12P1.23P1.34P1.45P1.56P2.1(A9)22P2.2(A10)23P2.3(A11)24P2.4(A12)25P2.5(A13)26P2.6(A14)27P2.7(A15)28PSEN 29ALE/PROG30EA/Vpp 31P0.7(AD7)32P0.6(AD6)33P0.5(AD5)34P0.4(AD4)35P0.3(AD3)36P0.2(AD2)37P0.1(AD1)38P0.0(AD0)39Vcc 40P1.67P1.78RST9(RXD)P3.010(TXD)P3.111(INT0)P3.212(INT1)P3.313(T0)P3.414(T1)P3.515(WR)P3.616(RD)P3.717XTAL218XTAL119P2.0(A8)21GND 2089C52U42DS35DS36DS37DS38DS39DS40DS41VCCDS4212345678510R111510R112510R113510R114510R115510R116510R117510R118六、实验参考流程图1.主程序流程图2.定时器中断服务程序流程图YN1->1秒到标志 0->50ms 计数器定时器0:方式一, 50ms 定时 开定时器中断 开中断总开关 开定时器0 0FEH->A1秒到标志=1开始0->1秒到标志 A->P1 RL A七、设计实施步骤1.仔细阅读实验内容及要求,根据要求编写汇编语言源程序。

多模式带音乐跑马灯单片机课程设计说明书

多模式带音乐跑马灯单片机课程设计说明书

课程设计任务书题目: 多模式带音乐跑马灯设计初始条件:1.采用MCS51作为主控芯片;2.采用16个发光二极管做跑马灯,要求有5种灯亮方式,并带音乐; 3.可实现速度控制,显示当前模式号,可手动或自动切换模式。

要求完成的主要任务:1、查阅参考资料,自学相关可编程接口芯片的内部结构、工作方式和初始化编程过程;2、根据设计系统的具体功能和性能参数,明确设计目标;3、单片机系统及其扩展接口电路设计,绘制系统硬件原理图;4、编制系统控制源程序,绘制源程序流程图,包括初始化和监控程序;5、撰写设计说明书(包括参考资料目录,字数不少于5000字)时间安排:(两周)序号内容所用时间(天)1 查阅资料,学习相关芯片知识 22 系统及扩展电路硬件设计 33 初始化程序和应用程序设计 34 相关硬件电路和程序调试 35 课程设计答辩 1合计12指导教师签名: 2013年 12 月 27 日系主任(或责任教师)签名:年月日目录1、系统功能及需求分析及设计意义 (3)1.1 功能要求分析 (3)1.2 设计意义 (3)2、总体方案设计 (4)3、系统硬件部分分析及设计 (5)3.1 硬件总体设计 (5)3.2 硬件各部分设计说明 (5)3.2.1 主控芯片AT89C52 (5)3.2.2 跑马灯设计 (11)3.2.3 模式显示设计 (11)3.2.4 音乐播放设计 (12)3.2.5按键控制设计 (12)4、系统软件部分设计 (14)4.1 软件总体设计思路 (14)4.2 主程序说明 (14)4.3子程序说明 (17)4.4各个程序调用和被调用关系 (19)4.5编写程序时发现的问题 (19)5、总结与体会 (21)6、参考文献 (22)附录程序编写 (23)附录评分表 (39)1、功能需求分析及设计意义1.1 功能要求分析多模式带音乐跑马灯系统要求采用MCS51作为主控芯片,驱动16个发光二极管做5种模式跑马灯灯亮方式,并带有音乐;并且可实现速度控制,显示当前模式号,可手动或自动切换模式。

可以调控的走马灯

可以调控的走马灯

单片机课程设计说明书可以调控的走马灯目录1概述 (1)1.1可控走马灯的意义 (1)1.2可控走马灯的发展和现状 (1)2.课题方案设计 (2)2.1系统总体设计要求 (2)2.2 设计方案论证 (2)3.系统硬件设计 (3)3.1 总体设计 (3)3.2 单片机运行的最小系统 (4)3.3 按键电路 (5)3.4 LED显示模块 (5)4.系统软件的设计 (9)5软硬件联调及调试结果 (10)5.1软硬件调试中出现的问题及解决措施 (10)5.2 实物图 (11)5.3 调试结果 (12)结束语 (12)参考文献 (14)附录 (14)附录1 :可以调控的走马灯原理图 (14)附录2 :可以调控的走马灯PCB图 (15)附录3:Ptoteuse 仿真图 (15)附录4:C语言程序清单 (16)附录5:可控走马灯元件清单表 (19)1概述1.1可控走马灯的意义众所周知,走马灯以其绚丽的色彩赢得人们喜爱,在人们的日常生活中很常见,并在一些特定的节日里,重要场合中起着绚烂节日气氛,带给人们欢乐的作用。

可以调控的走马灯打破常规走马灯闪烁固定变化的现状,可根据人们不同的意愿进行编程设计出想要的变换的色彩效果。

1.2可控走马灯的发展和现状当前,可以调控的走马灯广泛应用于各种商业场所,娱乐场所,以及建筑物的装饰等多种场合。

可以说,可控走马灯技术的应用已渗透大到世界博览会,奥林匹克开幕式,小到节日彩灯装饰。

深入研究可控走马灯是产品提高档次和推陈出新的有效途径。

纵观单片机的发展过程,可以预示走马灯的发展趋势,单片机的发展趋势如下:低功耗CMOS化MCS-51系列的80C51推出时的功耗达120mW,而现在的单片机普遍都在100mW左右,随着对单片机功耗要求越来越低,现在的各个单片机制造商基本都采用了CMOS(互补金属氧化物半导体工艺)。

CMOS虽然功耗较低,但由于其物理特征决定其工作速度不够高,而CHMOS则具备了高速和低功耗的特点,更适合于在要求低功耗像电池供电的应用场合。

跑马灯课程设计说明书模板

跑马灯课程设计说明书模板

机械课程设计LED控制系统设计学院(部):机械工程学院专业班级:机设**级**班学生姓名:***指导教师:***2011年01 月04 日摘要单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广,发展很快。

而51单片机是各单片机中最为典型和最有代表性的一种。

本实验是基于AT89C51单片机芯片作为核心控制器,通过硬件电路的制作以及软件程序的编制设计制作出一个8只LED,每次点亮一只,先把左边的第一只点亮,2秒后点亮左数的第二只灯,第一只熄灭,再过2秒点亮左数的第三只灯,第二只熄灭,……亮灯按此顺序由左向右移动。

当亮灯移到右侧后,开始与上述反方向移动,即灯亮由右向左移动的重复循环系统。

本设计基于单片机技术原理。

程序设计和原理图构成了本次设计的重点,应此在设计前必须了解相关知识点和软件才能调试成功。

目录I 摘要一、绪言(一)设计要求二、系统设计(一)硬件电路设计(二)汇编语言程序设计结束语参考文献附录绪言单片机诞生于20世纪70年代,像美国F8单片微型计算机。

所谓单片机是利用大规模集成电路技术把中央处理单元(Center Processing Unit,也即常称的CPU)和数据存储器(RAM)、程序存储器(ROM)及其他I/O通信口集成在一块芯片上,构成一个最小的计算机系统,而现代的单片机则加上了中断单元,定时单元及A/D转换等更复杂、更完善的电路,使得单片机的功能越来越强大,应用更广泛。

随后Intel公司推出了影响面更大、应用更广的MCS-48系列单片机。

MCS-48系列单片机的推出标志着工业控制领域进入到了智能化嵌入式计算机系统的探索阶段。

在对MCS-48探索成功的基础上,Intel公司很快推出了完善的典型MCS-51系列单片机。

此系列单片机的推出,标志着单片机的发展进入了体系结构的完善阶段。

此外,MCS-51系列单片机还在完善的结构总线和完善的指令系统这两方面确定了典型的通用总线型单片机的体系结构。

微机课程设计跑马灯c语言

微机课程设计跑马灯c语言

微机课程设计跑马灯c语

The Standardization Office was revised on the afternoon of December 13, 2020
第1章课程设计目的
1.1设计目的总述
通过《微机原理与接口》课程设计,使学生能够进一步了解微型计算机工作原理,微型计算机的硬件结构及微型计算机软件编程。

要求学生根据接口电路的硬件要
求进行计算机语言程序设计,使学生的软件编程能力得到加强,对接口电路的综
合应用能力有较大的提高。

第2章总体方案
2.1 设计要求
此次课程设计的要求为,设计微型计算机最小系统,实现跑马灯的模拟显示功能。

具体要求为:
(1)输入设备三个启动按钮、一个停止按钮,输出设备为八个跑马灯;
(2)三个启动按钮对应三种跑马灯显示效果,按下任意一个启动按钮,跑马灯显示对应的效果,按下停止按钮则跑马灯全部熄灭。

2.2 设计分析
设计一个闪烁跑马灯控制器,该控制器可以控制8个灯顺序亮灭。

当按下key1,8个灯依次从左向右亮。

这时,如果按钮key2按下,则灯亮的顺序是从右向左。

这时,如果按下按钮key3,则此时,发光二极管循环全亮全灭。

最后,若按下按钮key4则全部灯灭。

执行任意效果时,按下其它键,都可执行其相应效果。

爱心跑马灯课程设计

爱心跑马灯课程设计

爱心跑马灯课程设计一、课程目标知识目标:1. 学生能理解跑马灯的基本原理,掌握跑马灯电路的搭建方法。

2. 学生能运用所学的物理知识,分析跑马灯电路中的电流、电压和电阻的关系。

3. 学生了解爱心跑马灯的设计理念,将物理知识与实际应用相结合。

技能目标:1. 学生通过动手实践,提高电路搭建和调试的能力。

2. 学生能运用团队协作,共同解决问题,提高沟通与协作能力。

3. 学生通过制作爱心跑马灯,培养创新思维和动手制作的能力。

情感态度价值观目标:1. 学生在课程中培养对物理学科的兴趣,激发学习的积极性。

2. 学生通过爱心跑马灯的制作,体验科技与生活的联系,增强社会责任感。

3. 学生在团队合作中学会关心他人,培养关爱社会的情感。

本课程针对的学生特点为:好奇心强,喜欢动手实践,对物理现象有较高的兴趣。

课程性质为实践性较强的综合实践活动课程。

在教学过程中,要求教师注重引导、启发学生思考,关注学生的个体差异,鼓励学生积极探究、合作交流。

通过本课程的学习,期待学生在知识、技能和情感态度价值观方面取得具体的学习成果,为后续教学设计和评估提供依据。

二、教学内容本课程依据课程目标,结合教材相关章节,组织以下教学内容:1. 跑马灯原理介绍:讲解跑马灯电路的基本工作原理,包括电路组成、电流方向、LED灯的闪烁原理等。

- 相关教材章节:第五章第二节“简单电路的组成与原理”。

2. 电路搭建与调试:指导学生动手搭建跑马灯电路,学会使用万用表进行电路测试和调试。

- 相关教材章节:第五章第三节“电路的搭建与测试”。

3. 电流、电压和电阻的关系:分析跑马灯电路中电流、电压和电阻的变化规律,理解物理量的相互关系。

- 相关教材章节:第四章“电流、电压和电阻”。

4. 爱心跑马灯设计与制作:引导学生运用所学的电路原理,设计并制作具有创意的爱心跑马灯。

- 相关教材章节:第六章“电子制作实践”。

5. 团队合作与展示:学生分组合作,共同完成跑马灯制作,并进行成果展示。

课题二 任务三 跑马灯

课题二 任务三 跑马灯

单片机应用技术教案五、讲授新课一、硬件设计本任务是用单片机实现8只LED不断地点亮和熄灭,每只LED 的亮灭状态不同,只能通过不同的单片机引脚驱动。

和前面的任务类似,可选择单片机的32个可编程驱动的IO引脚中的任意8个来驱动。

在本任务中,选择P2口的8个引脚分别对应驱动8只LED,因端口的下拉能力较强,故采用下拉的方式驱动LED,具体电路如图所示。

流水灯的控制电路原理图在图中,VD1~VD8是8只LED,每只LED的阳极接5 V电源,阴极通过限流电阻接到单片机端口。

当单片机引脚输出低电平时,LED将流过电流并点亮;当单片机引脚输出高电平时,LED两端均为高电平,没有电流流过,LED不会被点亮。

单片机的P2端口的8个引脚可以通过程序独立驱动,所以8只LED可以任意为点亮或熄灭的组合。

二、软件设计由任务分析可知,本任务的目标就是要完成重复执行8只LED单独点亮1 s的过程。

如图所示。

移位点亮LED流程图五、讲授新课由于单片机可以整个端口同时驱动,也可按引脚单独驱动,因此能够实现任务目标的程序较多,这里以三种程序控制实现任务目标。

可以看到,不同的程序可以实现同样的目标,在阅读时应注意三个程序中主函数的异同。

1.引脚顺序控制按照任务要求,需要对单片机一个端口的8个引脚轮流输出低电平。

按前面任务的方式,应首先对8个引脚定义名称,这里以led0~led7分别对控制8只LED的引脚进行命名,程序中对每只引脚进行位控制,可以实现这8个引脚的电平控制。

端口顺序点亮LED流程图2.端口顺序控制单片机的P0、P1、P2、P3是4个并行输入输出端口,每个端口的8个引脚可以同时输入或输出。

3.端口循环控制1示例源程序2采用顺序结构实现端口的8个数据的输出,程序比较冗长且不易修改。

因程序中每次端口输出的语句格式是相同的,仅端口输出的数据不同,如果这个数据可以用一个变量自动生成,则每次的执行语句完全相同,即可以将这些语句置于一个循环体内,重复执行8次就能实现端口顺序输出的效果。

跑马灯设计 电子课程设计

跑马灯设计   电子课程设计

电子课程设计题目:时钟和跑马灯电路
学院:
专业:
学号:
姓名:
指导:
成绩:
一、实习目的
1、了解时钟电路的工作原理。

2、熟悉电路中主要元器件555集成块的作用及特性。

3、了解跑马灯电路的工作原理。

4、熟悉电路中主要电子元器件集成块4017、74HC240的作用
和特性。

5、掌握利用三极管开关特性构成反相器的原理。

6、掌握焊接工艺的基本技能。

二、原件清单
三、电路原理
1.由555时钟电路产生的方波信号,输入到10位计数——分频器(CD4017),分频计数器产生输出信号经过74HC240驱动后输出到发光二极管D10~D19上,D10~D19循环一次点亮。

2.由于74HC240只能对8位二进制数进行反相,所以电路中加入了两个三极管非门。

3.电路图如(1-1)
四、实习成果展示
五、注意事项
1.注意集成块、二极管,三极管的插装位置,电阻阻值的识别和各元件的正负极。

注意线的连接位置要正确,且精简。

往往好的排线方式可以大大的简化电路板,使其更美观!
2.整个电路焊接完成后便可插入集成块进行测试,焊接时应谨慎小心,由于此电路焊点多且密,注意不要出现相临焊点连在一起,不要出现虚焊。

六、小结
这个设计实习非常有意义,让我学会了识别和使用各种电子元件,培养了我对电子技术的兴趣。

初步接触了电路板,使我懂得其设计要点和工作原理。

通过动手实践我发现了一些不足并且通过自己的努力解决了问题,这让我很高兴,对以后的学习大有裨益!。

跑马灯课设说明书

跑马灯课设说明书

*******************实践教学*******************兰州理工大学计算机与通信学院2010年春季学期JAVA课程设计题目:专业班级:姓名:学号:指导教师:成绩:_______________目录摘要 (2)前言 (3)正文 (4).问题描述 (4)详细设计 (4)程序结构 (4)软件使用说明书 (5)程序代码 (7)程序调试与测试 (8)结果分析 (9)参考文献 (9)总结 (10)复习、巩固java语言的基础知识,进一步加深对java语言的理解,本次课程设计要求同学们设计一个java跑马灯程序,要求:程序实现字符串的来回滚动,精确计算字符串移动到边缘反弹回来。

回顾起此次课程设计,至今我仍感慨颇多,从理论到实践,在整整半个月的日子里,我和我的组成员我学到很多很多的东西,不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的内容。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才是真正的知识,才能提高自己的实际动手能力和独立思考的能力。

在设计的过程遇到了各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计,把以前所学过的知识重新温故,巩固了所学的知识。

问题描述该设计要求学生设计,开发出一个简单的跑马灯。

详细设计设计一个java跑马灯程序,要求编辑java源代码,通过自定义输入文字,将目标文字,变化成跑马灯效果。

程序结构(如图1)(图2)_软件使用说明书1,编译代码:(如图2)图2 2,输入想要实现的文字(图3)程序编码import java.awt.Color;import java.awt.Dimension;import java.awt.Font;import java.awt.HeadlessException;import java.awt.Point;import java.awt.event.ActionEvent;import java.awt.event.ActionListener;import ponentAdapter;import ponentEvent;import javax.swing.JFrame;import javax.swing.JLabel;import javax.swing.JOptionPane;import javax.swing.JViewport;import javax.swing.Timer;public class Test84 extends JFrame {private Timer timer;private JLabel view;private JViewport window;public static void main(String[] args){JFrame frm = new Test84("跑马灯");frm.setDefaultCloseOperation(EXIT_ON_CLOSE);frm.pack();frm.setVisible(true);}public Test84(String title) throws HeadlessException {super(title);initComponents();addComponentListener(new ComponentAdapter() {public void componentResized(ComponentEvent e){anchor = new Point();anchor.x = -window.getExtentSize().width;timer.start();}});timer = new Timer(100, new ActionListener() {public void actionPerformed(ActionEvent e){animate();}});timer.setInitialDelay(0);}private void initComponents(){String s = JOptionPane.showInputDialog(null, "请输入要实现效果的文字:");view = new JLabel(s);view.setFont(Font.decode("Dialog-BOLD-36"));view.setForeground(Color.BLUE);window = new JViewport();window.setView(view);getContentPane().add(window);}Point anchor;private void animate(){Dimension extSize = window.getExtentSize();Dimension viewSize = view.getPreferredSize();anchor.x += 5;//设置移动的速度window.setViewPosition(anchor);if (anchor.x > viewSize.width)anchor.x = -extSize.width;}}程序调试与测试通过调试,此次所编写的程序可以正常运行。

跑马灯课程设计说明书模板

跑马灯课程设计说明书模板

计算机与通信学院软件职业技术学院课程设计说明书课程设计题目作者:学号:专业:班级:指导教师:设计题目(中文)设计者姓名及学号(中文)摘要目录I 摘要一、绪言(一)课程设计任务及要求二、系统设计(一)硬件电路设计(二)Java语言程序设计结束语参考文献附录一.课程设计的任务及要求1.任务:图形化界面(GUI)编程,编写一个跑马灯程序1)画出主程序框图。

2)写出程序设计,调试程序,并用软件进行仿真。

3)设计总结及改进意见。

2.要求:(1)实现字符串来回滚动(2)精确计算字符串移动到边缘反弹回来(3)利用双缓冲技术减少屏幕抖动(4)可以动态改变字符串的内容和颜色二.需求分析:三.设计思路:四:详细设计:1.结构设计:2.程序设计import java.applet.Applet;import java.awt.Color;import java.awt.Font;import java.awt.FontMetrics;import java.awt.Graphics;import java.awt.Image;public class Welcome extends Applet {int pos = 0;Image graph;int w=0;String str = "热烈欢迎2009届新生入学";Font f = new Font("黑体", Font.BOLD, 20); Color c=new Color(0,255,255);public Welcome(){FontMetrics fm = getFontMetrics(f);w = fm.stringWidth(str);pos=w;}public void paint(Graphics g) {g.setFont(f);g.setColor(c);g.drawString(str, pos-w, 40);try {Thread.sleep(100);} catch (InterruptedException e) {e.printStackTrace();}pos = pos + 5;if (pos-w > getWidth())pos = w;repaint();}}五.运行调试与分析讨论六.体会与小结:完成情况:经过三个星期的努力,完成了跑马灯循环控制的设计,用java语言实现跑马灯控制方案。

数电课程设计(跑马灯)

数电课程设计(跑马灯)

数字电子技术课程设计设计题目:跑马灯目录设计题目 (1)1设计要求及主要技术指标.. ............................. .. (3)1.1 设计要求 (3)1.2 主要技术指标 (3)2 设计过程 (3)2.1题目分析 (3)2.2 整体构思 (3)2.3 具体实现 (4)3 元件说明 (4)3.1 元件说明 (4)4 检查过程 (7)4.1 检查过程 (7)4.2 遇到问题及解决措施..................... . (7)5 心得体会........................... ............. (8)参考文献......................................... (9)附录一:电路原理图 (9)附录二:元件清单 (10)一.设计要求及主要技术指标1.1 设计要求(1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。

(2)、列出所用元器件清单并购买。

(3)、安装调试所设计的电路,使之达到设计要求。

(4)、记录实验结果。

(5)、撰写设计报告。

1.2 主要技术指标(1)实现8灯循环点亮。

(2)间隔时间可调。

(3)广告灯的样式自定。

二.设计过程2.1题目分析我们设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20和一个78L05这四个芯片对8个LED进行控制,产生循环控制的效果。

形成一个流水似的广告灯。

2.2 整体构思拟定系统方案框图,画出系统框图中每框的名称、信号的流向,各框图间的接口,使用protel99电路设计软件画出原理图,再生成网络表和PCB板。

对照原理图进行统计要使用的元器件后,在电子市场购买所需要的电子元器件。

最后在指导老师的辅导下在实验室进行下一步工作。

2.3具体实现我们需要使用碳粉打印机把我们设计的原理图打印出来,不过要注意必须要使用不干胶纸的光滑面进行打印。

跑马灯控制系统电路设计

跑马灯控制系统电路设计

HDL数字系统课程设计报告书目录一、设计目的 (1)二、设计思路 (1)三、设计过程 (1)3.1、系统方案论证 (1)3.2、程序代码设计 (2)四、系统调试与结果 (5)五、主要元器件与设备 (8)六、课程设计体会与建议 (8)6.1、设计体会 (8)6.2、设计建议 (9)七、参考文献 (9)论文摘要:共16个LED灯,连成一排,实现几种灯的组合显示。

通过这次对跑马灯控制电路的设计与制作,了解了设计电路的程序,也了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。

此外,本实验也可通过EDA软件Quartus6.0和modelSim SE 6.0实现。

关键词: HDL 数字系统跑马灯设计一、设计目的1、熟悉Verilog HDL程序编程。

2、掌握Altera公司ACEXTM系列EPEK30QC208-2芯片的使用方法。

3、熟悉Quartus II 6.0和Modesim SE 6.0软件的使用。

4、了解16个数码管的显示原理和方法。

二、设计思路1、编写跑马灯设计程序。

2、定义LED灯引脚分配。

3、设计状态控制。

4、下载到EPEK30QC208-2芯片上显示。

三、设计过程3.1、系统方案论证16位LED跑马灯设计框图如图1所示:图1 LED跑马灯设计框图3.2、程序代码设计module paomadeng(rst,clk,sel,led); //端口定义,参数列表input rst,clk; //rst复位,clk为4Hz的时钟信号input[1:0] sel; //sel 状态选择端口output[15:0] led; //led 跑马灯显示reg[15:0] led;reg[15:0] led_r,led_r1;regt1,dir; //cnt1控制状态2 led灯的亮次数reg[3:0]t2; //cnt2控制状态2 led灯的亮次数reg[2:0]t3; //cnt3控制状态2 led灯的亮次数always(posedge clk)beginif(rst) begint1<=0;cnt2<=0;cnt3<=0;dir<=0;endelsecase(sel)// LED按奇数,偶数依次显示2'b00:beginled_r=16'b10101;if(cnt1==0)led<=led_r;else led<=led_r<<1;t1<=cnt1+1;end// LED顺序依次显示,顺序依次熄灭2'b01:beginif(!dir)beginif(cnt2==0) begin led_r=16'b00001;led<=led_r;endelse begin led<=(led<<1)+led_r;endif(cnt2==15) begin dir<=~dir;endt2<=cnt2+1;endelsebeginif(cnt2==0) begin led_r=16'b11110;led<=led_r;endelse begin led<=led<<1; endif(cnt2==15) begin dir<=~dir;endt2<=cnt2+1;endend// LED由两侧向中间依次显示,由中间向两侧依次熄灭2'b11:beginif(!dir)beginif(cnt3==0) beginled_r=16'b00001;led_r1=16'b00000;endelsebegin led_r=(led_r<<1)|led_r;led_r1=(led_r1>>1)|led_r1;endled<=led_r|led_r1;if(cnt3==7)begin dir<=~dir;endt3<=cnt3+1;endelsebeginif(cnt3==0) begin led_r=16'b11110;led_r1=16'b11111;endelsebegin led_r=led_r<<1;led_r1=led_r1>>1;endled<=led_r&led_r1;if(cnt3==7)begin dir<=~dir;endt3<=cnt3+1;endenddefault: ;endcaseendendmodule引脚分配:to,locationrst, pin_47 //复位引脚clk, pin_79 //时钟控制引脚sel[0], pin_45 //状态控制引脚sel[1], pin_46 //状态控制引脚led[0], pin_19//0—15个LED灯显示引脚led[1], pin_24led[2], pin_25led[3], pin_26led[4], pin_27led[5], pin_28led[6], pin_29led[7], pin_30led[8], pin_31led[9], pin_36led[10], pin_37led[11], pin_38led[12], pin_39led[13], pin_40led[14], pin_41led[15], pin_44四、系统调试与结果1、LED灯和波形结果显示结果如图2,3,4,5,6,7所示图2、先奇数灯亮,即第1、3、5、7、9、11、13、15灯亮图3、偶数灯亮,即第2、4、6、8、10、12、14、16灯亮图4、按照1/2/3/4/5/6/7/8/9/10/11/12/13/14/15/16的顺序依次点亮图5、按照1/2/3/4/5/6/7/8/9/10/11/12/13/14/15/16的顺序依次熄灭所有灯图6按照1/16、2/15、3/14、4/13、5/12、6/11、7/10、8/9的顺序依次点亮图7、按照1/16、2/15、3/14、4/13、5/12、6/11、7/10、8/9的顺序依次熄灭灯波形显示:图8、LED灯按先奇数,偶数灯亮图9、LED灯依次按顺次亮,顺次熄灭图10,LED灯依次从两边向中间亮,两边向中间熄灭五、主要元器件与设备EDA技术试验箱,EDA软件QuartusⅡ6.0,ModelSim SE 6.0分频芯片:SN74HC04N—2,SN74LS393N—4片LED灯16个LED灯,电脑一台六、课程设计体会与建议6.1、设计体会通过这次对跑马灯控制电路的设计与制作,让我了解了设计电路的程序,也让我了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

计算机与通信学院软件职业技术学院
课程设计说明书
课程设计题目
作者:
学号:
专业:
班级:
指导教师:
设计题目(中文)
设计者姓名及学号(中文)
摘要
目录
I 摘要
一、绪言
(一)课程设计任务及要求
二、系统设计
(一)硬件电路设计
(二)Java语言程序设计
结束语
参考文献
附录
一.课程设计的任务及要求
1.任务:图形化界面(GUI)编程,编写一个跑马灯程序1)画出主程序框图。

2)写出程序设计,调试程序,并用软件进行仿真。

3)设计总结及改进意见。

2.要求:
(1)实现字符串来回滚动
(2)精确计算字符串移动到边缘反弹回来
(3)利用双缓冲技术减少屏幕抖动
(4)可以动态改变字符串的内容和颜色
二.需求分析:
三.设计思路:
四:详细设计:
1.结构设计:
2.程序设计
import java.applet.Applet;
import java.awt.Color;
import java.awt.Font;
import java.awt.FontMetrics;
import java.awt.Graphics;
import java.awt.Image;
public class Welcome extends Applet {
int pos = 0;
Image graph;
int w=0;
String str = "热烈欢迎2009届新生入学";
Font f = new Font("黑体", Font.BOLD, 20); Color c=new Color(0,255,255);
public Welcome(){
FontMetrics fm = getFontMetrics(f);
w = fm.stringWidth(str);
pos=w;
}
public void paint(Graphics g) {
g.setFont(f);
g.setColor(c);
g.drawString(str, pos-w, 40);
try {
Thread.sleep(100);
} catch (InterruptedException e) {
e.printStackTrace();
}
pos = pos + 5;
if (pos-w > getWidth())
pos = w;
repaint();
}
}
五.运行调试与分析讨论
六.体会与小结:
完成情况:经过三个星期的努力,完成了跑马灯循环控制的设计,用java语言实现跑马灯控制方案。

课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。

随着科学技术的快速发展,单片机已经成为当今计算机应用中活跃的领域。

这次java课程设计,我查阅了很多资料,并进行实验才写出实训报告,此次设计为毕业设计和以后从事电子电路的设计打下了基础并提高了我的实践动手能力。

所得收获:通过这次课程设计,我得到了一次用专业知识和专业技能去分析问题、解决问题全面系统的锻炼。

使我在跑马灯的基本原理、java应用系统开发过程,以及用java语言设计程序的思路技巧等方面都能向前买了一大步,为日后成为合格的应用型人才打了良好的基础。

参考文献
(1)丁振凡.《Java语言实用教程》北京邮电大学出版社
(2)耿祥义.《Java2实用教程》清华大学出版社
(3)赵付青.《Java面向对象程序设计》国防工业出版社. (4)耿祥义.《Java课程设计》清华大学出版社
源代码:
import java.applet.Applet;
import java.awt.Color;
import java.awt.Font;
import java.awt.FontMetrics;
import java.awt.Graphics;
import java.awt.Image;
public class Welcome extends Applet {
int pos = 0;
Image graph;
int w=0;
String str = "热烈欢迎2009届新生入学";
Font f = new Font("黑体", Font.BOLD, 20);
Color c=new Color(0,255,255);
public Welcome(){
FontMetrics fm = getFontMetrics(f);
w = fm.stringWidth(str);
pos=w;
}
public void paint(Graphics g) {
g.setFont(f);
g.setColor(c);
g.drawString(str, pos-w, 40);
try {
Thread.sleep(100);
} catch (InterruptedException e) {
e.printStackTrace();
}
pos = pos + 5;
if (pos-w > getWidth())
pos = w;
repaint();
}
}
致谢
感谢李静明老师亲切的关怀,感谢我的同学和朋友给我极大的鼓励和参谋,感谢我所参考的文献、著作的作者,给我学习的渠道。

最后,感谢安徽理工大学,感谢机械工程学院,让我在这里走向了成熟。

虽然觉得很累,但最终的成功让我觉得再苦再累也是值得的!付出总会有收获的,用辛勤的劳动得到的东西和知识的感觉就是不一样。

再次,感谢为我们付出的老师们,你们辛苦了。

附录
元件清单:
AT89C51 1个LED彩灯8只导线若干
. ;.。

相关文档
最新文档