eda课程设计题目(2010级电子用)

合集下载

eda电子课程设计

eda电子课程设计

eda电子课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握电子电路设计的基本流程。

2. 学生能够运用所学软件工具,完成简单的电子电路图绘制和仿真。

3. 学生了解常见的电子元器件及其功能,能正确运用到电路设计中。

技能目标:1. 学生能够独立使用EDA软件进行电路设计,具备初步的电路分析和调试能力。

2. 学生通过实践操作,掌握电路板布线、打印及制作的基本方法。

3. 学生具备团队协作能力,能够与同学共同完成复杂的电子设计项目。

情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发创新意识和探索精神。

2. 学生在实践过程中,体会电子设计的实际意义,增强解决实际问题的自信心。

3. 学生通过课程学习,认识到电子技术对社会发展的作用,培养环保意识和责任感。

本课程针对中学生设计,充分考虑学生的认知水平、兴趣和实际需求。

课程以实践为主,注重培养学生的动手操作能力和团队协作精神。

通过课程学习,使学生掌握电子设计的基本技能,提高创新意识和实践能力,为未来的学习和发展奠定基础。

二、教学内容本课程教学内容分为以下四个部分:1. EDA基本概念与软件操作- 介绍EDA的基本概念、发展历程和应用领域。

- 学习并掌握常见的EDA软件操作,如电路图绘制、仿真等。

2. 电子元器件及其功能- 认识常见的电子元器件,如电阻、电容、二极管、晶体管等。

- 了解元器件的参数和选型原则,学会在电路设计中正确使用元器件。

3. 电子电路设计与仿真- 学习基本的电子电路原理,如放大器、滤波器、振荡器等。

- 应用EDA软件进行电子电路设计与仿真,分析并优化电路性能。

4. 电路板布线与制作- 学习电路板布线的基本原则和技巧。

- 完成电路板的设计、打印和制作,并进行实际测试与调试。

教学内容依据课本章节进行组织,具体安排如下:第1周:EDA基本概念与软件操作第2周:电子元器件及其功能第3-4周:电子电路设计与仿真第5-6周:电路板布线与制作教学内容注重科学性和系统性,以实践操作为主线,结合理论讲解,使学生在动手实践中掌握电子设计的基本知识和技能。

EDA课程设计,16路花样彩灯

EDA课程设计,16路花样彩灯

——EDA课程设计报告16路花样彩灯设计专业年级:电子信息工程2010级学生姓名:安亮学号:12010248258指导教师:杨泽林第一章绪论1.1系统背景EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。

设计者采用的设计方法是一种高层次的“自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。

在方框图一级进行仿真、纠错.并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。

然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(ASIC)。

设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次性成功率。

由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋IC器件已蓬勃发展起来。

在EDA技术中所用的大规模、超大规模芯片被称为可编程ASIC芯片,这些可编程逻辑器件自70年代以来,经历了CPm、IzPGA、CPLD、FPGA几个发展阶段,其中CPm(复杂可编程逻辑器件)/IzPGA(现场可编程逻辑器件)肩高密度可编程逻辑器件,目前集成度已高达200万门/片以上,它将掩模ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模ASIC实现,因此开发风险也大为降低。

eda课程设计5篇[修改版]

eda课程设计5篇[修改版]

第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。

2、具有清零、校时、校分功能。

3、具有整点蜂鸣器报时以及LED花样显示功能。

二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。

三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。

eda课程设计

eda课程设计

eda课程设计一、教学目标本课程的教学目标是使学生掌握EDA(电子设计自动化)的基本概念、原理和方法,培养学生运用EDA工具进行电子系统设计和分析的能力。

具体目标如下:1.知识目标:(1)理解EDA的定义、发展历程和应用领域;(2)掌握常见的EDA工具及其功能;(3)了解电子系统设计的基本流程;(4)熟悉硬件描述语言(如VHDL、Verilog)的基本语法和用法。

2.技能目标:(1)能够熟练使用至少一种EDA工具进行电子系统设计;(2)能够编写简单的硬件描述语言程序,实现基本的电子系统功能;(3)具备分析电子系统性能和优化设计的能力;(4)能够阅读和理解电子设计相关的技术文档。

3.情感态度价值观目标:(1)培养学生的创新意识和团队合作精神;(2)增强学生对电子技术的兴趣和热情;(3)培养学生严谨的科学态度和良好的职业道德。

二、教学内容本课程的教学内容主要包括以下几个方面:1.EDA基本概念和原理:介绍EDA的定义、发展历程、应用领域和基本原理。

2.EDA工具的使用:介绍常见的EDA工具(如Cadence、Altera、Xilinx等)的功能和操作方法。

3.硬件描述语言:介绍硬件描述语言(如VHDL、Verilog)的基本语法、结构和用法。

4.电子系统设计流程:介绍电子系统设计的整个流程,包括需求分析、电路设计、仿真验证、硬件实现等。

5.电子系统性能分析与优化:讲解如何分析电子系统的性能,并提出优化设计的策略。

6.实例分析:通过具体案例,使学生掌握EDA工具在实际工程项目中的应用。

三、教学方法本课程采用讲授法、实践教学法和小组讨论法相结合的教学方法。

1.讲授法:用于讲解EDA的基本概念、原理和工具的使用方法。

2.实践教学法:通过实际操作EDA工具,使学生熟悉电子系统设计流程,提高实际操作能力。

3.小组讨论法:分组进行案例分析,培养学生的团队协作能力和解决问题的能力。

四、教学资源1.教材:选用权威、实用的EDA教材,如《电子设计自动化原理与应用》。

eda最简单的课程设计

eda最简单的课程设计

eda最简单的课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解其在现代电子设计领域的重要性。

2. 使学生了解并能够描述EDA工具的基本功能,如电路图绘制、电路仿真、PCB设计等。

3. 帮助学生理解并掌握简单电路的EDA设计流程。

技能目标:1. 培养学生运用EDA软件进行电路图绘制和电路仿真的能力。

2. 培养学生通过EDA工具设计简单的PCB布线图,并能进行基本的质量检查。

3. 培养学生具备团队协作和沟通能力,能够就设计过程中遇到的问题进行有效讨论。

情感态度价值观目标:1. 培养学生对电子设计领域的兴趣,激发创新意识,提高实践能力。

2. 培养学生具有严谨的科学态度,对待设计任务认真负责,追求卓越。

3. 培养学生具备合作精神,学会尊重他人意见,共同解决问题。

分析课程性质、学生特点和教学要求:本课程为电子技术相关课程,旨在让学生通过实践操作,掌握EDA技术的基本应用。

针对初中年级学生,课程内容需结合学生已有的电子知识,注重启发性和趣味性。

在教学过程中,注重培养学生的实际操作能力和团队协作能力。

二、教学内容1. EDA基本概念介绍:包括EDA的定义、发展历程、主要应用领域。

2. EDA工具功能概述:介绍常见的EDA软件,如Altium Designer、Cadence等,以及它们的主要功能特点。

- 电路图绘制:学习如何使用EDA软件绘制电路原理图。

- 电路仿真:了解仿真原理,学习使用EDA软件进行电路功能仿真。

- PCB设计:学习PCB布线设计的基本概念和方法,掌握简单PCB布线图的绘制。

3. 简单电路的EDA设计流程:以实际案例为引导,让学生了解从电路设计到PCB制作的完整流程。

- 设计任务分析:明确设计任务,分析电路功能需求。

- 电路图绘制与仿真:根据需求,使用EDA软件完成电路图绘制并进行仿真测试。

- PCB布线与制作:将电路图转化为PCB布线图,并进行基本的质量检查。

EDA课程设计题目

EDA课程设计题目

EDA课程设计题目
题目一:正弦信号发生器设计,要求:
1、与D/A转换电路配合,产生一路频率范围为1KHz~20KHz的正弦信号,输出
频率、幅度可调;
2、频率、幅度的设定通过按键增减来实现,频率调整步长为1KHz,幅度调整步
长为500mV。

题目二:乐曲硬件演奏电路设计,要求:
1、FPGA配合按键、蜂鸣器演奏一段简单音乐;
2、当前演奏音调对应的阿拉伯数字用一位数码管显示;
3、用LED灯亮的个数指示当前演奏音调。

题目三:计时电路设计,要求:
1、具有小时、分钟、秒计时功能,并在数码管上实时显示,显示模式为12小时制和24小时制可选
2、可以对时钟进行设置
3、具有设置闹钟使能、设置功能,并能进行闹钟提醒。

题目四:串口通信电路设计
要求:
(1)设计一个全双工串口收发控制程序,速率为9600b/s,其中数据帧格式为:1位起始位+8位数据+1位奇偶校验位+1位停止位。

(2)通过连接两个实验箱,验证通信功能(如通过一个实验箱按键,另一个实验箱显示)。

题目五:数字频率计设计,要求:
1、所测频率的误差恒为百万分之一;
2、测频范围为0.1Hz~10MHz。

题目六:灯柱控制电路设计。

要求:
1、通过调节旋钮,在数码管上显示当前电压值
2、随着旋钮旋动时,LED 灯柱改变点亮的长度,蜂鸣器改变发出的音调。

EDA设计题目和内容

EDA设计题目和内容

EDA设计题目和内容1智力竞赛抢答器的设计设计一个4人参加的智力竞赛抢答计时器。

电路具有回答问题时间控制功能。

要求回答问题时间小于等于100秒(显示为0~99),时间显示采用倒计时方式,当达到限定时间时,发出声响以示警告;设置一个主持人“复位”按钮,主持人复位后,开始抢答,进入倒计时,当有某一参赛者首先按下抢答开关时,相应指示灯亮并伴有2~3 秒声响,此时抢答器不再接受其他输入信号,使除第一抢答者外的按钮不起作用,倒计时也相应停止,主持人复位后,重新开始抢答。

其他要求:(1)数码管显示抢答得胜的选手号;(2)设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。

2 数字电子钟的设计具有时,分,秒计数显示功能,以24小时循环计时;设置启动、停止开关,具有启动和停止计时操作的功能;显示格式为××-××-××,用8位数码管显示。

其他要求:(1)具有清零,调节小时、分钟、秒功能;(2)具有整点报时功能,整点报时的同时LED灯花样显示。

(3)具有闹钟功能,可任意设置时间点。

3 拔河游戏机的设计设计要求如下:(1)设计一个能进行拔河游戏的电路。

(2)电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按十次,亮点移动一次。

(4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

(5)用数码管显示双方按键的次数。

4 数字频率计的设计设计一个8位十进制数字频率计,待测信号由50MHz时钟分频得到或外部输入,测频范围为1Hz~50MHz,频率通过8位数码管显示。

其他要求:(1)测频范围扩大到1Hz~100MHz。

(2)用1602液晶显示待测信号频率。

5 乒乓球比赛游戏机(1)设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

燕山大学_EDA_课程设计_循环彩灯控制.

燕山大学_EDA_课程设计_循环彩灯控制.

燕山大学课程设计说明书题目:彩色信号灯循环控制学院(系):电气工程学院年级专业: 10电力四班学号: 100103030181学生姓名:金琳指导教师:张强、吕宏诗教师职称:实验师实验师燕山大学课程设计(论文)任务书院(系):电气工程学院基层教学单位:电子实验中心年月日目录一、设计说明 (4)1.1 设计思路 (4)1.2 模块介绍 (4)1.4 循环控制模块的电路原理图 (4)1.3 真值表及功能分析 (6)二、电路原理图 (8)2.2控制电路的电路原理图 (8)2.3总设计原理图 (9)三、波形仿真图 (9)3.1 总体电路的波形仿真图 (10)四、管脚锁定及硬件连线 (11)4.1输入信号的管脚锁定及硬件连线 (11)4.2 输出信号的管脚锁定及硬件连线 (11)五、心得体会 (13)参考文献 (13)一、设计说明1.1设计思路控制一组3×3指示灯的显示方式如下:L17至L9按顺序依次点亮至全亮,接着L13灭→L10、L12、L16、L14一起灭→L9、L15、L17、L11一起灭。

循环。

为了实现所规定的要求,设计思路为:将要求分为两步,首先将L17至L9按顺序依次点亮至全亮,本步骤可由移位寄存器的移位置数功能,将两片74194进行进位连接,给予第一片SRSI 一个高电平后,移位计数器向右依次移动发出高电平使L19至L9依次点亮至全亮;接下来使用第三片74194向右移位发出低电平,通过与门的逻辑运算分三次熄灭所有彩灯,此时CLRN信号清零所有芯片,循环进入下一周期。

通过对设计要求的分析,可以得出如下结论和相应的解决方案:1、通过将两片74194移位寄存器的级联以及一片D触发器实现对右移位数的扩展,将三片接入同一时钟信号;2、为了实现对彩灯的分组清零,设计时应采用与门实现对输出口的控制作用;3、第三片74194通过L9的高电平触发移位,L9为低电平时输出端为零导致“与”逻辑运算后L17至L9始终为低电平状态,为了解决这一问题,采用三组反相器与第三片移位寄存器输出端口相联实现对逻辑的纠正;4、在整个周期中,L10和L12、L16和L14的亮灭状况始终相同,为了减小电路的复杂度,将L10和L12、L16和L14的选择线分别连接;5、设计逻辑门电路实现变频功能。

eda电路课程设计

eda电路课程设计

eda电路课程设计一、课程目标知识目标:1. 让学生理解EDA电路的基本概念,掌握电路设计的基本原理。

2. 使学生掌握EDA软件的使用方法,能够进行简单的电路图绘制和仿真。

3. 帮助学生掌握常见的电子元器件的特性及其在电路中的应用。

技能目标:1. 培养学生运用EDA软件进行电路设计和仿真的能力。

2. 培养学生分析电路原理和解决实际问题的能力。

3. 提高学生的团队协作能力和沟通表达能力。

情感态度价值观目标:1. 培养学生对电子电路设计和制作的兴趣,激发创新意识。

2. 培养学生严谨、认真的学习态度,养成良好的学习习惯。

3. 增强学生的环保意识,了解电子电路在生产、生活中的环保要求。

课程性质:本课程为实践性较强的电子技术课程,结合理论知识与实际操作,培养学生的电路设计能力和动手能力。

学生特点:学生处于高中阶段,具有一定的物理和数学基础,对电子技术有一定的好奇心,但实际操作能力有待提高。

教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,发挥教师引导作用,提高学生的实践操作能力和创新能力。

通过分解课程目标为具体的学习成果,使学生在课程学习过程中逐步实现目标,为后续教学设计和评估提供依据。

二、教学内容1. EDA电路基本概念:介绍EDA电路的定义、发展历程及在电子设计中的应用。

教材章节:第一章 芯片设计自动化概述2. EDA软件使用方法:讲解如何安装、使用EDA软件,以及软件的基本操作。

教材章节:第二章 EDA工具及其使用3. 电路设计基本原理:学习电路设计的基本流程、原理图绘制和PCB布线等。

教材章节:第三章 电路设计基本原理4. 常见电子元器件:介绍电阻、电容、二极管、三极管等元器件的特性和选型。

教材章节:第四章 电子元器件5. 电路设计与仿真:学习运用EDA软件进行电路设计与仿真,分析电路性能。

教材章节:第五章 电路设计与仿真6. 实践项目:分组进行电路设计实践,培养学生的动手能力和团队协作精神。

EDA课程设计题目_图文.(共5篇)

EDA课程设计题目_图文.(共5篇)

EDA课程设计题目_图文.(共5篇)第一篇:EDA课程设计题目_图文.课程设计题目设计一数字式竞赛抢答器1、设计一个可容纳6 组(或4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3 秒的音响。

5、设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。

教学提示:1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。

2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。

3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二数字钟1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、熟练掌握各种计数器的使用。

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、能用低位的进位输出构成高位的计数脉冲。

教学提示:1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三数字频率计1、设计一个能测量方波信号的频率的频率计。

2、测量的频率范围是0?999999Hz。

3、结果用十进制数显示。

教学提示:1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。

所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

EDA课程设计参考题目

EDA课程设计参考题目

附录III EDA课程设计参考题目一、数码管显示控制器要求:1.能自动依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环;2.打开电源自动复位,从自然数列开始显示。

二、乒乓球游戏机要求:1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;3.甲、乙各有一数码管计分;4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。

三、智力竞赛抢答器要求:1.五人参赛每人一个按钮,主持人一个按钮,按下就开始;2.每人一个发光二极管,抢中者灯亮;3.有人抢答时,喇叭响两秒钟;4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

四、双钮电子锁要求:1.有两个按钮A和B,开锁密码可自设,如(3、5、7、9);2.若按B钮,则门铃响;(滴、嗒…);3.开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开——用发光管KS表示;4.报警:在输入3、5、6、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟……的报警声音;5.用一个开关表示关门(即闭锁)。

五、数字钟要求:1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频)2.能显示时、分、秒,24小时制;4.时和分有校正功能;注意:硬件资源的节约,否则器件内资源会枯竭。

六、交通灯控制器要求:1.东西方向为主干道,南北方向为副干道;2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换;4.换向时要有4秒的黄灯期;5.南北通行时间为20秒,到时间则转换,若未到时,但是南北方向已经无车,也要转换。

eda课程设计30个题目

eda课程设计30个题目

eda课程设计30个题目1数字频率计1)设计一个能测量方波信号的频率的频率计。

2)测量的频率范围是0 Hz。

3)结果用十进制数显示。

2乒乓球游戏机1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分;3)甲乙各有数码管计分4)裁判有一按钮,可系统初始化,每次得分后,按下一次3彩灯控制器1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。

4速度表1)显示汽车时速Km/h2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离3)采样周期设为10S4)*要求显示到小数点后2位5)数码管显示6)*超速报警5拔河游戏机1)设计一个能进行拔河游戏的电路。

2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5)*用数码管显示获胜者的盘数6 6 数字跑表1)具有暂停/启动功能2)具有重新开始功能3)用6个数码管分别显示百分秒、秒和分钟4)能计两个人跑步时间,并能选择显示77 电梯控制器1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)3)每层设有电梯上行和下行指示灯4)能记忆电梯内部的请求信号88 篮球计分器1)具有30秒计时、显示2)可对计时器清零、置数、启动和暂停3)30秒倒计时4)两个数码管显示两队比分5)超时报警并可解除报警99电子琴1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。

《EDA技术》课程设计题目

《EDA技术》课程设计题目

《EDA技术》课程设计题目1 数字钟设计 (1)2 数字式竞赛抢答器设计 (1)3 数字频率计设计 (1)4 拔河游戏机设计 (2)5 洗衣机控制器设计 (3)6 电子密码锁设计 (3)7 脉冲按键电话按键显示器设计 (4)8 乘法器设计 (4)9 简易音乐播放器设计 (5)10 交通灯控制器设计 (5)11 出租车自动计费器设计 (6)12 自动售邮票机设计 (6)13 信号发生器系统设计 (7)14 点阵设计 (7)15 汽车尾灯控制器设计 (7)16 乒乓球比赛游戏机设计 (8)17 电梯控制器设计 (8)18 简单微处理器设计 (9)19 电子脉搏计设计 (9)20 数字电压表设计 (9)21 彩灯控制器设计 (9)22 病房呼叫系统设计 (10)23 二进制频移键控(FSK)调制器与解调器设计 (10)24 二进制相位键控(PSK)调制器与解调器设计 (10)12电信1班《EDA技术》课程设计选题名单1 数字钟设计设计要求在Quartus Ⅱ开发系统中用可编程逻辑器件实现数字钟的EDA设计,要求:(1)数字钟功能:数字钟的时间以24小时一个周期;数字钟能够显示时、分、秒;(2)校时功能:可以分别对时、分、秒进行单独校时,使其调整到标准时间;(3)扩展功能:具有整点报时功能,当时间到达整点前5秒进行蜂鸣报时。

2 数字式竞赛抢答器设计设计要求设计一个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用。

抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设置一个主持人“复位”按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用指示灯显示抢答组别,扬声器发出音响。

设置犯规电路,对提前抢答和超时答题(例如3分钟)的组别鸣笛示警,并由组别显示电路显示出犯规组别。

设置一个计分电路,每组开始预置10分,由主持人计分,答对一次加1分,答错一次减1分。

系统框图如图2-1所示。

eda课程设计参考题目

eda课程设计参考题目

EDA课程设计参考题目一、LED点阵汉字显示要求:1、及格:在实验箱上16*16点阵模块上显示汉字“学”;2、中:设置不同的清屏方式(上下左右);3、良:滚动显示汉字“学”;4、优:滚动显示“石家庄经济学院”。

二、键盘扫描显示要求:1、及格:识别4*4键盘,并将结果显示在数码管上;2、中:设计防抖电路;3、良:按一定方向移动显示,即新的按键值显示在最右端的数码管上,原有显示依次左移;4、优:可以进行简单的加减运算。

三、电子密码锁要求:1、及格:设计一个四位密码锁的控制电路,当输入正确代码时,输出开锁信号,用红灯亮、绿灯灭表示关锁,用绿灯亮、红灯可以进行灭表示开锁;2、中:输入密码的同时显示输入的值;3、良:可设定密码;4、优:从第一个按钮触动后的10秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

四、数字频率计要求:1、及格:设计一个能测量方波信号的频率的频率计,测量范围1~999999Hz,结果用四位十进制数显示;2、中:具有超量程显示和量程切换功能;3、良:具有高位无效零自动消隐功能;4、优:具有测量周期的功能。

五、交通信号灯控制器要求:1、及格:设计一个交通信号灯控制器,在十字路口每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

每次放行30秒;2、中:绿灯亮25秒后闪烁3秒,黄灯亮2秒,然后转红灯,红灯亮30秒后转绿灯,依次循环;3、良:30秒倒计时显示;4、优:可设定通行时间。

六、数字式竞赛抢答器要求:1、及格:设计一个6组参赛的数字式抢答器,每组设一个按钮,供抢答使用;抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设计一个主持人“复位”按钮;主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,同时扬声器发声;2、中:具有30秒倒计时功能功能,30秒结束无人抢答则报警;3、良:具有犯规设置电路对提前抢答和超时抢答者,则报警并显示组别;4、优:设置一个计分电路,每组开始设置100分,由主持人计分,答对一次加10分,答错一次减10分。

EDA技术课程设计题目

EDA技术课程设计题目

EDA技术课程设计题目总体要求说明:1、画出实体图。

2、画出实验原理图。

3、编写出VHDL源程序(可以是VHDL程序+原理图混合方式实现,但不能以单纯原理图方式实现)。

4、调试程序,带有逐行注释的VHDL程序代码,得出仿真的时序波形图。

5、实物验证,下载到EDA实验箱中实现设计的功能;鼓励下载到自有FPGA开发板上运行,但必须是现场下载。

6、写出详细的设计报告。

7、题目在下列给出的题目中选取。

8、考查成绩(设计报告和现场答辩)占70%,平时成绩(考勤和实验)占30%,考核成绩也与选择的题目的难度有关。

9、可以分组,但每组最多3人,每个题目选做的人数不能超过规定的人数,否则所有选择该题目的都要重新选择重做;同组的都应该共同参与问题的讨论和解决。

鼓励一个人设计开发。

各题目要求如下:一、流水灯(最多6个人,也就是最多2组选做)功能要求:1、设计能带8个LED发光管发光,并按照要求轮流发光,产生流水灯的流动效果。

2、应具有5种以上不同风格的流动闪亮效果。

3、有起动、停止控制键。

4、有流动闪亮效果选择设置键,流动风格分手动选择和自动循环两种模式。

二、电子钟(最多6个人,也就是最多2组选做)功能要求:1、用65536HZ的频率作为系统的时钟,对其进行分频产生秒信号,再对秒信号进行计数,产生分、时,并显示小时、分钟和秒的实际值。

2、能预置小时和分钟的功能(即校时、校分)。

3、显示用动态显示。

三、6路抢答器(最多6个人,也就是最多2组选做)功能要求:1、利用实验箱上的键1—7作为按键,其中键7为复位,键1为1路抢答键,键2为2路抢答键,等等。

2、按复位后系统响“嘀…..”一短声,6路抢答键起作用,任一抢答键按下时,显示其键号,并封锁所有抢答键,十秒钟后响“嘀…..”一长声,直到按复位键后才能解除声响。

3、具有抢答开始后20S倒计时,20S后倒计时后无人抢答显示超时的功能,并报警。

4、能显示超前抢答号并显示犯规警报,某一路犯规抢答,则自动取消(也就是禁止)下一次抢答的权利(1次)。

EDA课程设计题目.

EDA课程设计题目.
教学提示:
1、时钟源使用频率为0.1Hz的连续脉冲。
2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。
3、时钟显示使用数码管显示。
4、“时显示”部分应注意12点后显示1点。
5、注意各部分的关系,由低位到高位逐级设计、调试。
设计三数字频率计
1、设计一个能测量方波信号的频率的频率计。
2、测量的频率范围是0?999999Hz。
3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。
4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。
设计六交通信号灯控制器
1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、
3、当“球”(点亮的那只LED运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。
4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。
5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。
7、改变量程时,小数点能自动移位。
设计四拔河游戏机
1、设计一个能进行拔河游戏的电路。
2、电路使用15个(或9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10分,所以个位始终为零,只要十位、百位进行加/减运算即可。

eda基础课程设计

eda基础课程设计

eda基础课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念和原理;2. 掌握EDA软件的基本操作和使用方法;3. 学习并掌握常见电子元件的符号及其在电路图中的表示;4. 学会分析简单的电子电路,并运用EDA软件进行电路仿真。

技能目标:1. 能够独立使用EDA软件绘制电路图;2. 能够运用EDA软件进行电路仿真,分析电路性能;3. 能够根据实际需求设计简单的电子电路;4. 培养学生的团队协作能力和问题解决能力。

情感态度价值观目标:1. 培养学生对电子设计的兴趣,激发学生的学习热情;2. 增强学生的创新意识,培养勇于尝试、不断探索的精神;3. 培养学生的环保意识,了解电子设计在环保方面的应用;4. 培养学生严谨、细致的工作态度,提高学生的责任感。

本课程针对初中年级学生,结合学科特点和学生实际,注重理论与实践相结合,旨在培养学生的动手操作能力和实际应用能力。

通过本课程的学习,学生将掌握EDA基础知识和技能,为后续深入学习电子技术打下坚实基础。

同时,课程注重情感态度价值观的培养,使学生形成积极向上的学习态度,提高综合素质。

二、教学内容1. EDA概述- 了解EDA的发展历程、应用领域及发展趋势;- 熟悉EDA软件的种类及功能。

2. EDA软件操作基础- 学习EDA软件的安装与界面认识;- 掌握基本操作,如新建、打开、保存项目;- 学习绘制原理图的基本方法。

3. 电子元件及符号- 认识常见的电子元件,如电阻、电容、二极管、晶体管等;- 学习并掌握电子元件在电路图中的符号表示。

4. 电路图绘制与仿真- 学习电路图绘制的基本规则;- 掌握简单电子电路的绘制方法;- 运用EDA软件进行电路仿真,分析电路性能。

5. 实践案例- 分析并设计简单的放大电路、滤波电路等;- 学习电路调试方法,解决常见问题。

教学内容根据课程目标,结合教材章节进行安排。

在教学过程中,注重理论与实践相结合,让学生在学习过程中逐步掌握EDA基础知识和技能。

简单的eda课程设计

简单的eda课程设计

简单的eda课程设计一、课程目标知识目标:1. 让学生理解电子设计自动化(EDA)的基本概念和原理;2. 掌握EDA工具的基本操作和使用方法;3. 学习并掌握简单数字电路的设计流程和仿真验证。

技能目标:1. 培养学生运用EDA工具进行数字电路设计的能力;2. 培养学生分析电路图,进行电路仿真和调试的能力;3. 提高学生团队协作和沟通表达的能力。

情感态度价值观目标:1. 培养学生对EDA技术及其在数字电路设计中的应用产生兴趣;2. 增强学生的创新意识和动手实践能力;3. 培养学生严谨、细致、求实的科学态度。

课程性质分析:本课程为电子技术相关课程,旨在让学生了解和掌握电子设计自动化工具,提高数字电路设计的效率。

学生特点分析:学生为初中或高中年级,具有一定的电子技术基础和计算机操作能力,对新技术和新工具充满好奇心。

教学要求:1. 结合课本内容,注重理论与实践相结合,确保学生能够掌握基本概念和操作方法;2. 以学生为主体,注重启发式教学,引导学生主动探究和解决问题;3. 强化实践环节,鼓励学生动手实践,培养实际操作能力;4. 注重团队协作,培养学生的沟通与协作能力。

二、教学内容1. 电子设计自动化(EDA)基本概念:介绍EDA的定义、发展历程、应用领域;2. EDA工具介绍:讲解常用EDA工具的功能、特点,如Multisim、Protel 等;3. 数字电路设计流程:阐述数字电路设计的基本步骤,包括需求分析、电路设计、仿真验证、PCB设计等;4. EDA工具操作方法:结合课本内容,详细讲解EDA工具的基本操作、使用技巧;5. 简单数字电路设计实例:选取合适的数字电路实例,如逻辑门、计数器等,进行设计、仿真和验证;6. 电路图分析:分析课本中提供的典型电路图,讲解电路原理和设计要点;7. 电路仿真与调试:教授如何利用EDA工具进行电路仿真、调试,分析并解决常见问题;8. 团队协作与成果展示:组织学生进行团队协作,完成设计任务,并进行成果展示和评价。

EDA课程设计2010—4

EDA课程设计2010—4

第四步:空管脚的设置
第五步:管脚的配置(打开新建文件夹 中的.QSF文件,对其进行修改)
第六步:编译
这个名字必须 与工程名一致!!!
编译中!!!
第七步:仿真
选中后拖曳到右侧
仅对输入端进行设置
第八步:下载到实验板
在实验板上进行相应操作,验证 结果是否正确!
实验步骤: 1、在E盘新建一个目录,如:E:\zyr\encode; 2、打开Q2,新建一个工程; 3、新建一个Verilog HDL 文件,如: E:\zyr\encode.v , 将相应实验程序用记事本方式打开,复制该程序到encode.v 中; 4、修改第一句:module后的文件名,让该文件名与工程名一致,保存改程 序; 5、器件选择及不用管脚等设置; 6、编译设置; 7、管脚配置: 具体方法: (1)打开当前工程所在文件夹; (2)删除*.qsf文件; (3)打开相应实验程序所在文件夹,复制*.qsf文件到自己的工程所在文 件夹; (4)*.qsf文件改名,文件名应与工程名一致,如:encode.qsf 8、编译:如编译成功则进入下一步,不成功需找出错误,重新编译,直到 编译成功; 9、编程; 10、观察实验结果。
4
EDA 实验
下面以七人表决器的设计为例,介绍 设计的详细过程。
打开Quartus-ll 。
第一步:新建一个工程 (要建在一个新建的文件夹中)
要选择一个新的文件夹
第二步:新建一个文件 (与工程存于同一个文件夹中)
第三步:编译 实验
实验内容: 4.1 3-8译码器 4.2 七人表决器 4.3 8-3编码器 4.4 开关LED 4.5 数字秒表 4.6 数字时钟
EDA 实验
实验所用的开发板为:FPGA2C35‐II开发板。

eda技术课程设计题目

eda技术课程设计题目

元时 , 应找出1元硬币 , 总金额低于1元但超过5角时 , 应找出5角硬币 , 总金额低于不足5角时 , 应找出1角硬币。
第9页/共16页
设计万年历显示模块 , 应具备如下功能:1 、能显示年 、月 、 日 , 时 、分 、秒两种显示方式; 2 、有一个按键能选择不同的显示方式;3 、时间显示可以有按键选择24 、 12进制显示; 4 、能由调时 、分的按键 , 能进行时间的设定。附加功能:1 、能有星期显示; 2 、能进行润年的计算; 3 、能有秒表 、倒计时等功能(自主设定)
第10页/共16页
1 、洗衣机的状态为待机5s →正转60s →待机5s →反 转60s → , 并用3个LED灯和7段显示器分别表示其工作状 态和显示相应工作状态下的时间。2 、可自行设定洗衣机的循环次数 , 这里设置最大 的循环次数为15次。3 、具有紧急情况的处理功能 。 当发生紧急情况时, 立即转入待机状态 , 紧急情况解除后 , 继续执行后续步骤;4 、洗衣机设定循环次数递减到零时立即报警 , 以 表示洗衣机设定的循环次数已经结束第11页/共16页
设计要求一个人将一只狗
设计题9—经典数字游戏——过河
一只猫和一只老鼠渡过河的经典游
1 、基本计时和显示功能(12进制) 。包括上下午标 志 , 时分秒显示等;2 、能设置当前时间;3 、能实现基本打铃功能:■ 上午06:00起床铃 , 打铃5S , 停2S , 再打铃5S;■ 下午10:00熄灯铃 , 打铃5S , 停2S , 再打铃5S;□ 上午8:00上课铃开始 , 按印刷学院的上下午课程 时间设置打铃方案发挥部分自拟 , 每2个模块提一档。第15页/共16页
第7页/共16页
第8页/共16页
模6计数器构成 , 并接成级联形式 , 用高速时钟驱动,以保证在按动按键时 , 两计数器的读数是随机的 。该两 读数还应锁存并用数码管显示;2 、两计数器读数之和应显示并存储 , 以便于第二场比 赛结果相比较;3 、按键显示系统可以设计成两套 , 游戏者每人一套 , 控制电路是公共的。4 、 比赛结果可以用发光二极管或数码管指示 , 可以伴 有声音效果。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1 多功能数字钟的设计(限4人)1.1 设计要求设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校时间,每逢整点,产生报时音报时。

系统框图如图1-1所示:图1-1 多功能数字钟系统框图1.2 设计提示此设计问题可分为主控电路、计数器模块和扫描显示三大部,主控电路中各种特殊功能的实现设计问题的关键。

用两个电平信号A、B进行模式选择,AB=00为模式0,系统为计时状态;AB=01为模式1,系统为手动校时状态;AB=10为模式2,系统为闹钟设置状态。

设置一个turn信号,当turn=0时,表示在手动校对时,选择调整分钟部分;当turn=1时,表示在手动校对时,选择调整小时部分。

设置一个change信号,在手动校时或闹钟设置模式下,每按一次,计数器加1。

设置一个reset信号,当reset=0时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。

设置一个闹钟设置信号reset1,当reset1=0时,对闹钟进行设置,当reset1=0时,关闭闹钟信号。

设置状态显示信号(连发光二极管):LD_alert指示是否设置了闹铃功能;LD_h指示当前调整的是小时信号;LD_m指示当前调整的是分钟信号。

当闹钟功能设置后(LD_alert=1),系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。

整点报时部分由分和秒计时同时为0(或60)启动,与闹铃共用一个扬声器驱动信号out。

系统计时时钟为clk=1Hz,选择另一时钟clk_lk=1024Hz作为产生闹铃声、报时音的时钟信号。

主控电路状态表如表1-1所示。

硬件系统示意图如图1-2所示。

图1-2 数字钟硬件系统示意图2 数字式竞赛抢答器(限4人)2.1 设计要求设计一个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用。

抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设置一个主持人“复位”按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用指示灯显示抢答组别,扬声器发出音响。

设置犯规电路,对提前抢答和超时答题(例如3分钟)的组别鸣笛示警,并由组别显示电路显示出犯规组别。

设置一个计分电路,每组开始预置10分,由主持人计分,答对一次加1分,答错一次减1分。

系统框图如图2-1所示:图2-1 数字式竞赛抢答器系统框图2.2 设计提示此设计问题可分为第一信号鉴别锁存模块,答题计时模块,计分电路模块和扫描显示模块四部分。

第一信号鉴别锁存模块的关键是准确判断出第一抢答者并将其锁存,在得到第一信号后将输入端封锁,使其他组的抢答信号无效,可以用触发器或锁存器实现。

设置抢答器按钮K1、K2、K3、K4,主持人复位信号reset,扬声器驱动信号out。

reset=0时,第一信号鉴别锁存电路、答题计时电路复位,此状态下,若有抢答按钮按下,鸣笛示警并显示犯规组别;reset=1时,开始抢答,由第一信号鉴别锁存电路形成第一抢答信号,进行组别显示,控制扬声器发出音响,并启动答题计时电路,若计时时间到主持人复位信号还没有按下,则由扬声器发出犯规示警声。

计分电路是一个相对独立的模块,采用十进制加/减计数器、数码管数码扫描显示,设置复位信号reset1、加分信号up、减分信号down,reset1=0时,所有得分回到起始分(10分),且加减分信号无效;reset=1时,由第一信号鉴别锁存电路的输出信号选择进行加减分的组别,每按一次up,第一抢答组加一分;,每按一次down,第一抢答组减一分。

硬件系统示意图如图2-2所示。

图2-2 数字抢答器硬件系统示意图3 数字频率计(限4人)3.1 设计要求设计一个能测量方波信号频率的频率计,测量结果用十进制显示,测量的频率范围是1~100KHz,分成两个频段,即1~999Hz,1KHz~100KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。

具有自动校验和测量两种功能,即能用标准时钟校验测量精度。

具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。

系统框图如图3-1所示。

图 3-1 频率计系统框图3.2 设计提示脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式f=N/T,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间,所以在1秒时间内计数器所记录的结果,就是被测信号的频率。

此设计问题可分为测量/校验选择模块、计数器模块、送存选择器报警模块、锁存模块和扫描显示模块几部分。

测量/选择模块的输入信号为:选择信号selet、被测信号meas、测试信号test,输出信号为CP1,当selet=0时,为测量状态,CP1=meas;当select=1时,为校验状态,CP1=test,校验与测量共用一个电路,只是被测信号CP1不同而已。

设置1秒定时信号(周期为2秒),在1秒定时时间内的所有被测信号送计数器输入端。

计数器对CP1信号进行计数,在1秒定时结束后,将计数器结果送锁存器锁存,同时将计数器清零,为下一次采样测量做好准备。

设置量程档控制开关K,单位显示信号Y,当K=0时,为1~999Hz量程档,数码管显示的数值为被测信号频率值,Y显示绿色,即单位为Hz,当K=1时,为1KHz~100KHz 量程档,被测信号频率值为数码管显示的数值乘以1000,Y显示红色,即单位KHz。

设置超出量程档测量范围示警信号alert。

计数器由四级十进制计数构成(带进位C)。

若被测信号频率小于1KHz(K=0),则计数器只进行三级十进制计数,最大显示值为999.Hz,如果被测信号频率超过此范围,示警信号驱动灯光、扬声器报警;若被测信号为1KHz~100KHz(K=1),计数器进行四位十进制计数,取高三位显示,最大显示值为99.9KHz,如果被测信号频率超过此范围,报警。

送存选择、报警电路状态表如表3-1所列。

表3-1 送存选择、报警电路状态表硬件系统示意图案如图3-2所示。

图3-2 数字频率计硬件系统示意图4 拔河游戏机(限4人)4.1设计要求设计一个能进行拔河游戏的电路。

电路使用15个(或9个)发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。

游戏甲乙双方各持一个按钮,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。

亮点移到任一方终端二极管,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。

用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。

系统框图如图4-1所示。

图4-1 拔河游戏机系统框图4.2设计提示此设计问题可以分为加/减计数器、译码器和甲乙双方的得分计数器显示电路几部分。

设置参赛双方输入脉冲信号in1、in2,用可逆计数器的加、减计数输入端分别接受两路按钮脉冲信号。

设置裁判员“开始”信号begin,begin有效后,可逆计数器才接受in1、in2信号。

用一个四线-十六线译码器,输出接15个(或9个)发光二极管,设置一个复位信号reset,比赛开始,reset信号使译码器输入为1000,译码后中心处二极管点亮,当计数器进行加法计数时,亮点向右移,减法计数时,亮点向左移。

当亮点移到任一方终端时,由控制电路产生一个信号使计数器停止接受计数脉冲。

将双方终端二极管“点亮”信号分别接两个得分计数显示电路,当一方取胜时,相应的得分计数器进行一次得分计数,这样得到双方取胜次数的显示。

设置一个记分计数器复位信号reset1,使双方得分可以清零。

硬件系统示意图如图4-2所示。

图4-2 拔河游戏机硬件系统示意图5 洗衣机控制器(限4人)5.1 设计要求设计一个洗衣机洗涤程序控制器,控制洗衣机的电机作如下规律运转:图5-1 洗衣机控制器控制要求用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电机的正、反转,如果定时时间到,则停机并发出音响信号。

系统框图如图5-2所示。

图5-2 洗衣机控制器系统框图5.2设计提示此设计问题可分为洗涤预置时间编码模块、减法计数显示、时序电路、译码驱动模块四大部分。

设置预置信号LD,LD有效后,可以对洗涤时间计数器进行预置数,用数据开关K1∼K10分别代表数字1、2、…、9、0,用编码器对数据开关K1~K10的电平信号进行编码,编码器真值表如表5-1所列,编码后的数据寄存。

表5-1 编码器真值表设置洗涤开始信号start,start有效则洗涤时间计数器进行倒计数,并用数码管显示,同时启动时序电路工作。

时序电路中含有20秒定时信号,10秒定时信号,设为A、B,A、B为“0”表示定时时间未到,A、B为“1”表示定时时间到。

时序电路状态表如表5-2所列。

状态编码为:S0=00 S1=01 S2=11 S3=10若选JK触发器,其输出为Q2 Q1逻辑赋值后的状态表如表5-3所列。

设置电机正转信号run,反转信号rev,暂停信号pause,由时序电路的输出Q2Q1经译码驱动模块,可使显示信号正确反映电路的工作状态,译码驱动模块真值表如表5-4所列。

表5-4 译码驱动电路真值表直到洗涤计时时间到,时序电路异步复位,并启动音响电路。

硬件系统示意图如图5-3所示。

图5-3 洗衣机控制器硬件系统示意图6 电子密码锁(限4人)6.1设计要求设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K1∼K10分别代表数字1、2、…、9、0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。

可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。

用一位输出电平的状态代表锁的开闭状态。

为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。

系统框图如图6-1所示。

图6-1 密码锁系统框图6.2设计提示此设计问题可分为密码输入删除控制模块、寄存模块、比较模块、扫描显示几部分。

在密码输入删除控制模块中,用编码器对数据开关K1-K10的电平信号进行编码,编码器真值表如表6-1所列。

输入密码是在锁打开的状态下进行的,每输入一位数,密码在数码管上的显示左移一位。

设置删除信号back,每按下一次back,删除最后输入的数字,密码在数码管的显示右移一位,并在左边空出的位上补充“0”,状态表如表6-2所列。

表6-1 编码器真值表设置密码确认信号set,当四位密码输入完毕后,按下set,则密码被送寄存器锁存,比较模块得A数据,同时密码显示电路清零。

相关文档
最新文档