评估板套件
MTP58-FTxx-T1 热敏微打评估板用户手册说明书
广州致远电子有限公司类别内容关键词 MTP58-FTxx-T1、评估板、热敏、微打、58mm摘 要广州致远电子有限公司推出了多款微打产品,该产品功能完善,支持三十多条常用ESC/POS 指令,配备多款产品评估板,方便用户测试评估,使用户快速完成产品开发,占领市场。
本文主要介绍MTP58-FTxx-T1评估板修订历史版本日期原因V1.00 2011/05/06创建文档销售与服务网络(一)广州周立功单片机发展有限公司地址:广州市天河北路689号光大银行大厦12楼F4邮编:510630电话:(020)38730916 38730917 38730972 38730976 38730977传真:(020)38730925网址:广州专卖店地址:广州市天河区新赛格电子城203-204室电话:(020)87578634 87569917传真:(020)87578842 南京周立功地址:南京市珠江路280号珠江大厦1501室电话:(025) 68123901 68123902传真:(025) 68123900北京周立功地址:北京市海淀区知春路113号银网中心A座1207-1208室(中发电子市场斜对面)电话:(010)62536178 62536179 82628073传真:(010)82614433 重庆周立功地址:重庆市石桥铺科园一路二号大西洋国际大厦(赛格电子市场)1611室电话:(023)68796438 68796439传真:(023)68796439杭州周立功地址:杭州市天目山路217号江南电子大厦502室电话:(0571)28139611 28139612 2813961328139615 28139616 28139618传真:(0571)28139621 成都周立功地址:成都市一环路南二段1号数码同人港401室(磨子桥立交西北角)电话:(028)85439836 85437446传真:(028)85437896深圳周立功地址:深圳市深南中路 2070号电子科技大厦C座4楼D室电话:(0755)83781788(5线)传真:(0755)83793285 武汉周立功地址:武汉市洪山区广埠屯珞瑜路158号12128室(华中电脑数码市场)电话:(027)87168497 87168297 87168397传真:(027)87163755上海周立功地址:上海市北京东路668号科技京城东座7E室电话:(021)53083452 53083453 53083496 传真:(021)53083491 西安办事处地址:西安市长安北路54号太平洋大厦1201室电话:(029)87881296 83063000 87881295传真:(029)87880865销售与服务网络(二)广州致远电子有限公司地址:广州市天河区车陂路黄洲工业区3栋2楼邮编:510660传真:(020)38601859网址:(嵌入式系统事业部)(工控网络事业部)(楼宇自动化事业部)技术支持:CAN-bus:电话:(020)22644381 22644382 22644253 邮箱:****************************iCAN及数据采集:电话:(020)28872344 22644373 邮箱:*********************MiniARM:电话:(020)28872684 28267813邮箱:******************************以太网:电话:(020)22644380 22644385邮箱:*********************************无线通讯:电话:(020) 22644386邮箱:*************************串行通讯:电话:(020)28267800 22644385 邮箱:***********************编程器:电话:(020)22644371邮箱:*************************分析仪器:电话:(020)22644375 28872624 28872345 邮箱:********************ARM嵌入式系统:电话:(020)28872347 28872377 22644383 22644384 邮箱:**********************楼宇自动化:电话:(020)22644376 22644389 28267806 邮箱:*************************************************销售:电话:(020)22644249 22644399 22644372 22644261 28872524 28872342 28872349 28872569 28872573 38601786维修:电话:(020)22644245目录1. 简介 (1)2. 硬件说明 (2)3. 使用说明 (4)3.1 热敏纸安装示意 (4)3.1.1 热敏纸简介 (4)3.1.2 安装步骤 (4)3.2 电源输入/输出接口 (7)3.2.1 电源输入接口 (7)3.2.2 电源输出接口 (8)3.3 通信接口 (8)3.3.1 通信接口选择 (8)3.3.2 RS-232C串口 (9)3.3.3 USB通信 (9)3.3.4 蓝牙通信方式 (12)3.3.5 TTL电平串口 (12)3.4 热敏微打控制板 (13)3.4.1 引脚信息 (14)3.4.2 控制板电路原理图 (15)3.5 PC机测试软件 (15)4. 免责声明 (17)1. 简介MTP58-FTxx-T1为热敏微打控制板MTP58-FTxx专用评估板,实物如图1.1所示。
士兰微SD45230-评估板_说明书
SD45230_评估板说明书_1.0SD45230 评估板说明书降压型5V/2A 车载充电器声明:♦士兰保留本文档的更改权,恕不另行通知!♦产品提升永无止境,我公司将竭诚为客户提供更优秀的产品!目录1.SD45230降压型5V/2A DEMO规格 (2)2.DEMO原理图 (2)3.DEMO外观 (2)4.元器件列表(BOM) (3)5.测试报告 (3)5.1.测试工具 (3)5.2.开关机测试 (4)5.3.空载-满载动态测试 (5)5.4.输出纹波测试 (6)5.5.输出短路测试 (6)5.6.MOS管DS电压测试 (7)5.7.效率测试 (7)5.8.EMC测试 (9)1.SD45230降压型5V/2A DEMO规格2.DEMO原理图图1 SD45230系统原理图3.DEMO外观4.元器件列表(BOM)5.测试报告5.1.测试工具5.2. 开关机测试Vin=12Vdc ,Po=0W ,开机 Vin=30Vdc ,Po=0W ,开机 通道1:输入电压 5V/格 通道1:输入电压 10V/格 通道2:输出电压 2V/格通道2:输出电压 2V/格Vin=12Vdc ,Po=10W ,开机 Vin=12Vdc ,Po=10W ,关机 通道1:输入电压 5V/格 通道1:输入电压 5V/格 通道2:输出电压 2V/格通道2:输出电压 2V/格Vin=30Vdc ,Po=10W ,开机 Vin=30Vdc ,Po=10W ,关机 通道1:输入电压 10V/格 通道1:输入电压 10V/格 通道2:输出电压 2V/格通道2:输出电压 2V/格5.3. 空载-满载动态测试Vin=12Vdc ,保持时间T=5ms Vin=30Vdc ,保持时间T=5ms Rise rate= Fall rate=0.25A/us Rise rate= Fall rate=0.25A/us 通道1:输出电压 1V/格 通道1:输出电压 1V/格 通道2:输出电流 2A/格通道2:输出电流 2A/格5.4. 输出纹波测试Vin=12Vdc ,Po=10W Vin=30Vdc ,Po=10W 通道1:输出电压纹波20mV/格 通道1:输出电压纹波20mV/格 通道2:输出电流纹波20mA/格通道2:输出电流纹波20mA/格备注:输出电压的纹波跟MOS 管开关的稳定性、电感量大小、输出电容等有关。
ADuC8XX系列常见问题解答
ADuC8XX系列常见问题解答问题:ADUC8XX系列的开发方法和开发工具是怎样的?答案:ADuC8xx的开发方法是非常简便的。
ADUC8XX系列提供了评估板套件,以帮助用户熟悉ADuC8xx的开发方法和开发环境。
以ADUC831为例,EVAL- ADUC831QSZ套件包括评估板一块,下载线,9V电源和相应的软件光盘。
评估版套件中的光盘中包含了开发中用到的所有的软件,数据手册,应用笔记,评估板原理图、例子代码等信息。
如果没有购买评估板的用户想要得到此光盘, 可以联系800-810-1742或者发email至china.support@索取。
下面根据光盘中提供的各种开发软件对开发方法做一介绍。
1.Aspire它是一个集成开发环境。
可以编辑、编译、仿真及在线调试基于汇编语言和C语言的程序。
如果要使用在线调试功能,需要使用ACCUTRON公司的ACE仿真器。
这个ACE仿真器与PC的接口是USB,与芯片的接口只需一个管脚,所以称为单管脚调试。
2.Keil它是一个集成开发环境。
它支持编辑、编译、软件仿真。
目前最新版本的Keil C51支持UART口直接硬件在线调试,而不需仿真器(ACE)。
用户可在Keil的网站下载最新版本的软件。
3.WSD它是下载程序的工具。
当用Aspire或Keil编译生成*.hex文件后,可以用此软件把程序从PC上下载到芯片中。
PC与芯片之间的连接是通过串口实现的。
在两种评估板套件中,都包含了串口下载线。
如果没有购买评估板套件,您也可以自己在市场上买一根串口线。
但是需要在您的电路板上加入一颗RS232电平转换芯片。
如果您已经有ACE 仿真器,也可以不用WSD,而用ACE直接下载。
4.DEBUGV2它是在线调试汇编语言的工具。
也是通过串口来实现的。
不需要任何仿真器。
5.WASP它是用来评估ADuC8xx产品内部ADC性能的软件。
它也是通过串口与芯片通信。
不需要任何仿真器。
如需更加详细的软件使用方法,请参看光盘中的文档8XXGetStartedvx.x.pdf。
EZ-KIT评估板的硬件结构
模式2 完全存储器模式。ADSP模式2 :完全存储器模式。ADSP-2189M 不会自动使用和等待BDMA操作, BDMA操作 不会自动使用和等待BDMA操作,而是直 接从外存储器的零地址开始执行程序。 接从外存储器的零地址开始执行程序。
模式3 模式3:主(Host)模式,ADSP-2189M自动 Host)模式,ADSP-2189M自动 模式 使用BDMA从字节存储空间载入头32 BDMA从字节存储空间载入头32个程序 使用BDMA从字节存储空间载入头32个程序 然后执行程序。此时,IACK会出现低 字,然后执行程序。此时,IACK会出现低 电平(须附加硬件)。 电平(须附加硬件)。
•
EZ-KIT套件要求用户 机硬件最低配置为 套件要求用户PC机硬件最低配置为 套件要求用户 机硬件最低配置为P166 / 32M / 300M /CD/RS232口,操作系统为 口 操作系统为Windows9X、200X或NT。 、 或 。
ADSP-218x Workshop 15-5
a
EZ-KIT评估板的硬件结构 (一) 评估板的硬件结构 评估
• EZ-KIT板的硬件结构如图所示 : 板的硬件结构如图所示
a
ADSP-218x Workshop
15-6
EZ-KIT评估板的硬件结构 (二) 评估板的硬件结构 评估
• EZ-KIT评估板的布局: 评估板的布局: 评估板的布局
a
ADSP-218x Workshop
15-7
EZ-KIT评估板上的主要器件(一) 评估板上的主要器件( 评估板上的主要器件
a
ADSP-218x Workshop
15-3
ADSP-2189M EZ-Kit Lite评估套件硬 软件 评估套件硬/软件 评估套件硬
adi评估板
adi评估板ADI评估板全名为Analog Devices Inc.评估板,是ADI公司开发的一种用于测试和评估各种模拟集成电路和数字信号处理器的开发板。
评估板通常由一个主控板和一些外围设备组成,用于测试芯片的功能和性能。
首先,ADI评估板具有多种接口和连接方式,能够适应各种不同的测试场景。
这包括USB、RS-232、以太网等常见接口,以及SPI、I2C、UART等常见总线接口。
这些接口可以与PC 或其他控制设备相连接,方便进行控制和数据的传输。
其次,ADI评估板还提供了丰富的软件和开发工具,使得开发人员可以方便地进行代码编写、仿真和调试。
这包括完整的开发环境和SDK,支持多种编程语言和开发平台。
此外,评估板还提供了丰富的应用示例和代码库,供开发者参考和使用。
第三,ADI评估板集成了丰富的外围设备和接口,如ADC、DAC、放大器、滤波器等,能够满足各种不同的测试需求。
这些外围设备可以用于测试芯片的性能指标,如精度、带宽、功耗等。
另外,评估板还提供了多个传感器接口,用于连接和测试各种不同的传感器。
第四,ADI评估板还具备灵活性和可扩展性。
该板支持用户自定义硬件和接口,可以根据具体的测试需求进行扩展和改造。
评估板还具备丰富的资源和文档,方便用户进行二次开发和定制。
综上所述,ADI评估板是一种功能强大、易用性高的工具,能够帮助开发人员快速测试和评估模拟集成电路和数字信号处理器。
它的多种接口和连接方式、丰富的软件和开发工具、集成的外围设备和灵活可扩展的设计使得开发人员能够快速完成各种测试任务。
ADI评估板在电子行业中具有广泛的应用前景,可以提高开发效率,降低开发成本,促进产品的快速上市。
zigbee模块使用手册
2.4G无线模块WLT2408NZ产品数据手册编号:DSWLT01003 更新日期:2012/04/26 版本:V1.03产品概述WLT2408NZ模块是广州晓网电子出品的WLT系列ZigBee数据传输模块,具备最大8dBm 输出功率,视距传输距离可达500米(@5dbi天线),工作频段2.380GHz~2.500Ghz,除标准ZigBee的16个通道外,还有9个扩展频段,可以有效避开WIFI、蓝牙等其他2.4G信号干扰。
广州晓网电子为WLT2408NZ用户提供mesh对等无线路由协议,无组网延时,采用时间空间权值均衡原则,路由时间短,通讯稳定可靠。
基本参数产品图片输出功率:供电电压:天线接口:数字接口:视距传输距离:功耗:休眠电流工作温度:存储温度:尺寸:-50~+8dBm1.9~3.3VSMA,U.FLUART,GPIO,AD500米@5dbi天线发送峰值电流46.3mA,接收时36.4mA <1uA-40℃至+85℃-40℃至+105℃16×23mm公司简介广州晓网电子科技有限公司是一家专门从事无线通讯方案设计、生产及服务的公司,公司拥有一流的设计团队,运用先进的工作方法,集合无线设计经验,公司拥有业界实用的各种模块,也为客户提供客制化服务。
订货信息WLT2408NZ-S SMA形式天线接头WLT2408NZ-U U.FL形式天线接头WLT2408NZ SDK 无线模块评估板套件,包含两个评估板,搭载的模块为WLT2408NZ-S。
数据手册版权声明本文档提供有关晓网电子产品的信息,并未授予任何知识产权的许可,并未以明示或暗示,或以禁止发言或其它方式授予任何知识产权许可,任何单位和个人未经版权所有者授权不得在任何形式的出版物中摘抄本手册内容。
产品命名规则图1-1 产品命名规则例如:WLT2408NZ-S表示晓网电子模块类的产品,频段为2.4GHz,理论输出功率为﹢8dBm(实际输出为﹢7.7dBm),超小封装,调制方式为ZigBee,外置SMA头的模块。
Silicon Labs 芯片评估板 套件说明书
EVALUATION BOARD/KIT IMPORTANT NOTICESilicon Laboratories Inc. and its affiliated companies ("Silicon Labs") provides the enclosed evaluation board/kit to the user ("User") under the following conditions:This evaluation board/kit ("EVB/Kit") is intended for use for ENGINEERING DEVELOPMENT, TESTING, DEMONSTRATION, OR EVALUATION PURPOSES ONLY and is not a finished end-product fit for general consumer use. ANY OTHER USE, RESALE, OR REDISTRIBUTION FOR ANY OTHER PURPOSE IS STRICTLY PROHIBITED. This EVB/Kit is not intended to be complete in terms of required design-, marketing-, and/or manufacturing-related protective considerations, including product safety and environmental measures typically found in end products that incorporate such semiconductor components or circuit boards. As such, persons handling this EVB/Kit must have electronics training and observe good engineering practice standards. As a prototype not available for commercial reasons, this EVB/Kit does not fall within the scope of the European Union directives regarding electromagnetic compatibility, restricted substances (RoHS), recycling (WEEE), FCC, CE or UL, and therefore may not meet the technical requirements of these directives or other related directives.Should this EVB/Kit not meet the specifications indicated in the User's Guide, the EVB/Kit may be returned within 30 days from the date of delivery for a full refund. THE FOREGOING WARRANTY IS THE EXCLUSIVE WARRANTY MADE BY SILICON LABS TO USER, IS USER'S SOLE REMEDY , AND IS IN LIEU OF ALL OTHER WARRANTIES, EXPRESSED, IMPLIED, OR STATUTORY , INCLUDING ANY WARRANTY OF MERCHANTABILITY , NONINFRINGEMENT, DESIGN, WORKMANSHIP , OR FITNESS FOR ANY PARTICULAR PUR-POSE.User assumes all responsibility and liability for proper and safe handling of the EVB/Kit. Further, User indemnifies Silicon Labs from all claims arising from User's handling or use of the EVB/Kit. Due to the open construction of the EVB/Kit, it is User's responsibility to take any and all appropriate precautions with regard to electrostatic discharge.EXCEPT TO THE EXTENT OF THE INDEMNITY SET FORTH ABOVE, NEITHER PARTY SHALL BE LIABLE TO THE OTHER FOR ANY INDIRECT, SPECIAL, INCIDENTAL, OR CON-SEQUENTIAL DAMAGES.Neither Silicon Labs nor User is obligated to perform any activities or conduct any business as a consequence of using the EVB/Kit, and neither party is entitled to any form of exclusivity with respect to the EVB/Kit.Silicon Labs assumes no liability for applications assistance, customer product design, software performance, or infringement of patents or services described herein.Please read the User's Guide and, specifically, the Warnings and Restrictions notice in the User's Guide prior to handling the EVB/Kit. This notice contains important safety information about temperatures and voltages. For additional environmental and/or safety information, please contact a Silicon Labs application engineer or visit /support/quality.No license is granted under any patent right or other intellectual property right of Silicon Labs covering or relating to any machine, process, or combination in which the EVB/Kit or any of its components might be or are used.User's use of this EVB/Kit is conditioned upon acceptance of the foregoing conditions. If User is unwilling to accept these conditions, User may request a refund and return the EVB/Kit to Silicon Labs in its original condition, unopened, with the original packaging and all documentation to:Mailing Address:400 W. Cesar Chavez Austin, TX 78701Copyright © 2012 by Silicon Laboratories Rev. 0.2 7/12P R E C I S I O N 32™ M C U D E V E L O P M E N T K I T Q U I C K -S T A R T G U I D E F O R K I T S F E A T U R I N G T H E U N I F I E D D E V E L O P M E N T P L A T F O R M (U D P )T h e P r e c i s i o n 32™ M C U D e v e l o p m e n t K i t s a r e a v a i l a b l e i n a l o w c o s t D e v e l o p m e n t K i t a n d a f u l l y f e a t u r e d E n h a n c e d D e v e l o p m e n t K i t . K i t c o n t e n t s a r e d e s c r i b e d b e l o w . A l l d e v e l o p m e n t k i t s c o m e w i t h a n M C U c a r d , U S B D e b u g A d a p t e r , a n d a l l n e c e s s a r y c a b l e s a n d p o w e r s u p p l i e s n e e d e d t o e v a l u a t e h a r d w a r e a n d d e v e l o p c o d e . T h e E n h a n c e d D e v e l o p m e n t K i t s a d d i t i o n a l l y c o n t a i n a U D PM o t h e r b o a r d a n d o n e o r m o r e I /O c a r d s t o e n h a n c e t h e u s e r e x p e r i e n c e .D e v e l o p m e n t K i t•U D P M C U c a r d•S i l i c o n L a b o r a t o r i e s U S B D e b u g A d a p t e r •S u p p o r t i n g C a b l e s a n d P o w e r S u p p l i esE n h a n c e d D e v e l o p m e n t K i t•U D P M C U c a r d•U D P M o t h e r b o a r d •U D P I /O c a r d (s )•S i l i c o n L a b o r a t o r i e s U S B D e b u g A d a p t e r •S u p p o r t i n g C a b l e s a n d P o w e r S u p p l i e sA. Install SoftwareB. Hardware Setup (Steps 1, 4, and 5 Only Apply to Enhanced Development Kits)C. Documentation12Click the large Download Button to initiate the Precision32 web install.Navigate to the Precision32 software download website.3Start the Installer and allow it to run in the background. Advance to Step 4 while the Precision32 Development Suite andAppBuilder are being installed./32bit-software1Connect the USB Debug Adapter ribbon cable to the MCU card.2Connect the USB Debug Adapter to thePC using the standard USB cable.I/O cardMCU card45If Enhanced DK, apply power to the UDP Motherboard using 1 of 4 power options,Power Options1: 9 V Universal Adapter (J20)2: Standard USB (J16) 3: Mini USB (J1)4: 6 V Battery Pack (J11)then set power switch (S3) to the ON Position.If Development Kit, apply power to the MCU Card using 1 of 2 power options.Power Options1: Mini USB – For USB MCUs2: 9 V Universal Adapter – For Non-USB MCUsIf Enhanced DK, update the motherboardfirmware using the UDP MotherboardFirmware Update Utility./udpMCU card321412Note: If Enhanced DK, the MCU Card is powered from the motherboard.36If Enhanced DK, connect the MCU card and I/O card to the UDP Motherboard.1Download the User’s Guide for EachBoard in the Development Kit.Where to Find DocumentationData Sheet:/32bit-mcu →Choose Product Family →Documentation tab Reference Manual:/32bit-mcu →Choose Product Family →Documentation tab Hardware User's Guide:/32bit-mcu →Design Resources →Unified Development Platform OR /udp Application Notes:/32bit-mcu →Design Resources →Application Notes Software Development Kit Documentation:C:\Silabs\32bit\si32-{revision}\Documentation\si32Hal.chm Quality Documents:/qualityE . U s i n g t h e P r e c i s i o n 32 D e v e l o p m e n t S u i t eT h e P r e c i s i o n 32 D e v e l o p m e n t S u i t e i s a c o m p l e t e d e v e l o p m e n t s y s t e m f o r S i l i c o n L a b s 32-b i t M C U s . T h e D e v e l o p m e n t S u i t e c o n s i s t s o f t h r e e p a r t s : t h e U n i f i e d D e v e l o p m e n t P l a t f o r m (U D P ) h a r d w a r e , t h e S o f t w a r e D e v e l o p m e n t K i t (S D K ), a n d t h e P C d e v e l o p m e n t t o o l s i n c l u d i n g A p p B u i l d e r a n d t h e I n t e g r a t e d D e v e l o p m e n t E n v i r o n m e n t (I D E ). S e e t h e a p p l i c a t i o n n o t e s l i s t e d b e l o wf o r c o m p l e t e d e t a i l s .t h e p r o g r a m . T h e L E D b l i n k . P a u s e p r o g r a R u nl i n e s o f c o d e a n d s e l e c t T o g B r e a k p o i n t t o a d d a b r e a k p o T h e n p r e s s R u n t o r u n t o b r e a k p o i c o d e .S t e p I n t o o r S t e p O v e r V i e w o r m o d i f y P e r i p h e r a R e g i s t e r s , o r M e m o i a b l e , r i g h t -c l i a n d s e l e c t A d d W a t c h E x p r e t o a d d i t t o t h e E x p r e s s i o n s w A p p B u i l d e r I D E•A N 675: P r e c i s i o n 32 D e v e l o p m e n t S u i t e O v e r v i e w•A N 667: G e t t i n g S t a r t e d w i t h t h e S i l i c o n L a b s P r e c i s i o n 32 I D E•A N 670: G e t t i n g S t a r t e d w i t h t h e S i l i c o n L a b s P r e c i s i o n 32 A p p B u i l d e r•A N 678: P r e c i s i o n 32 s i 32F l a s h U t i l i t y C o m m a n d -L i n e P r o g r a m m e r U s e r 's G u i d e•A N 719: P r e c i s i o n 32 I D E a n d A p p B u i l d e r D e t a i l e d T u t o r i a l a n d W a l k t h r o u g hW h e r e t o F i n d S u p p o r tM C U K n o w l e d g e B a s e :w w w .s i l a b s .c o m →S u p p o r t →K n o w l e d g e B a s eV i d e o T r a i n i n g M o d u l e s :w w w .s i l a b s .c o m →S u p p o r t →T r a i n i n g a n d R e s o u r c e sC o n t a c t a n A p p l i c a t i o n s E n g i n e e r :w w w .s i l a b s .c o m →S u p p o r t →C o n t a c t T e c h n i c a l S u p p o r tD . U s i n g t h e P r e c i s i o n 32 I DE f o r t h eF i r s t T i m e2R e g i s t e r t h e I D E u s i n g t h e s t e p s l i s t e d o n t h e W e l c o m e p a g e .1O p e n t h e P r e c i s i o n 32 I D E a n d s e l e c t t h e p r o j e c t w o r k s p a c e .313456S e l e c t j u s t t h e s i m x x x x x _B l i n k y c h e c k b o x ,e n s u r e C o p y p r o j e c t s i n t o w o r k s p a c e i s s e l e c t e d , a n d p r e s s F i n i s h .S e l e c t t h e I m p o r t S I 32 S D Ke x a m p l e (s ) l i n k i n t h e Q u i c k s t a r t w i n d o w .S e l e c t t h e s i m x x x x x _B l i n k yp r o j e c t i n t h e P r o j e c t E x p l o r e r a n d p r e s s B u i l d ‘B l i n k y ’ [D e b u g ] i n t h e Q u i c k s t a r t w i n d o w .S t a r t a D e b u g s e s s i o n b yc l i c k i n g D e b u g ‘B l i n k y ’ [D e b u g ] i n t h e Q u i c k s t a r t w i nd o w .。
SPEAr320:工厂自动化嵌入式开发方案
・
S E r2 P A 3 0嵌入式微处理器 最 高 2Gbt D R23 3 z( i的 D 3 MH 标
准 1 8Mb t s) 2 ye
・
S i er a
I / F
・
最 高 1 ye 6Mb ts的串行 闪存 ( 标准 8
Mb t s) ye
・_ Jr AG调试端 口
更 多 详情 。敬 请 浏 览 :
ht P: I t / sOI i On. Ut eCCn. COm , s l t 2 1 3 1 5 5 5 . t ou i on 01 O1 O 0 6 1 5 h m
图 3 S Ar2 U评 估 板 方 框 图 PE 3 0CP
.
.
.
JE P G编解码器加速器 具有可编程预分频器的 ,6X1 6位
通 用 定 时 器 ,4个 捕 捉 输 入
ห้องสมุดไป่ตู้
。
一
具有 中断功能的 ,最高 1 2GPO 0 I
s E 3 o 用 P Ar2 应
S E 3 0嵌入 式微 处理 器是 可 P Ar2 配置的 ,适 用于工 业和消费类产 品。
・
US B2 O . H0S 1 T
・
两 个 U 20全 主 机 端 口通道 SB .
一
个 U 20主 机 设 备 端 口 SB .
・
一
USB20 .
HOS T2 US B2。 0
De i e vc
・
个 串行端 口 ( 最高 1 5b u 1 a d)
J AG b g p rs T De u o t
・
可编程逻辑控制器 工 厂 自动 化 打印机
M283核心板产品简介
3
北京分公司
北京市海淀区知春路 108 号豪景大厦 A 座 19 层 电话:(010)62536178 62635573
上海分公司:南京
南京市珠江路 280 号珠江大厦 1501 室 电话:(025)6Байду номын сангаас123923 68123920
深圳分公司
深圳市福田区深南中路 2072 号电子大厦 12 楼 电话:(0755)83640169 83783155
1
M283 工控核心板
硬件资源
处理器:ARM926 MCIMX283/ MCIMX283 RISC 处理器 Cache:16KB 指令 Cache,16KB 数据 Cache 系统内存:128MB SDRAM (32 位总线接口) 电子硬盘:256MB NAND Flash (用户可用空间为 160MB) SD 卡接口:1 个 SD 卡接口,支持热插拔 SD 卡 以太网接口:1 路 10/100M 以太网接口 USB 接口: USB Host 接口 1 个 (USB 2.0)、 USB OTG 接口 1 个 (USB 2.0) RS-232 串口:3 个,其中 2 个为功能串口,1 个为调试串口 显示接口:LCD 接口,支持 TFT 液晶屏(4.3V/5V),最大分辨率 800x480 通用数字 I/O:7 路(3.3V) 电源接口:直流输入 DC 5.0V ADC 接口:4 路 12 位 120KHz 其它:四线电阻式触摸屏接口、 2 个 LED 灯、外置独立看门狗定时器、机械尺寸 45mm×60mm
订购信息
类别 核心板 核心板 核心板 核心板 核心板 核心板 评估套件 液晶套件
型号 M283-128I M283-128WI M283-128LI M287-128I M287-128WI M287-128LI EasyARM-iMX283
stm32 f446 评估板例程
【stm32 f446评估板例程全面评估】1. 引言在现代电子设备的设计和开发过程中,嵌入式系统起着至关重要的作用。
而STM32系列微控制器由意法半导体推出,以其强大的性能、丰富的外设以及灵活的应用环境而备受开发者青睐。
其中,STM32F446评估板作为STM32系列中的一款典型代表,具有丰富的外设和灵活的扩展能力,因此备受开发者的喜爱。
2. STM32F446评估板概述STM32F446评估板是基于ARM Cortex-M4内核的高性能微控制器,工作频率高达180MHz,具有丰富的外设资源,包括多个通用定时器、高分辨率定时器、RTC、I²S、SDIO、USB OTG等。
评估板还有丰富而灵活的扩展接口,包括Arduino、ST Zio和Morpho接口,能够满足不同应用场景的需求。
3. 评估板例程深度评估在评估STM32F446评估板的例程时,我们首先需要深入了解其基本的功能和特性,然后根据不同的应用场景和需求,进行更深入的探索和评估。
3.1 基本例程我们需要评估评估板自带的基本例程,包括GPIO控制、定时器应用、中断处理、串口通信等。
这些基本的例程能够帮助我们快速了解评估板的基本功能和特性,为后续的应用开发奠定基础。
3.2 高级外设例程我们需要评估评估板所支持的高级外设的例程,包括SD卡读写、USB 设备模式、DMA控制等。
这些例程能够帮助我们更好地了解评估板的外设性能和灵活性,为开发高端应用打下基础。
3.3 应用场景案例我们需要评估评估板在不同应用场景下的案例,包括物联网、工业控制、智能家居等。
通过这些实际案例的评估,我们能够更全面地了解评估板在不同领域的适用性和性能表现。
4. 总结与展望STM32F446评估板具有丰富的外设资源和灵活的应用环境,能够满足不同应用场景的需求。
而在评估例程的过程中,我们不仅全面了解了评估板的基本功能和特性,还深入探讨了其在高级外设和实际应用场景下的表现。
和芯星通全系统全频点高精度RTK定位模块 UM4B0 安装和操作手册说明书
INSTALLATION AND OPERATIONUSER MANUALData subject to change without notice.Communications, Inc.Copyright© 2009-2021, Unicore 全系统全频点高精度RTK定位模块U M4B0修订记录免责声明本手册提供有关和芯星通科技(北京)有限公司(以下简称和芯星通)产品的信息。
本文档并未以暗示、禁止反言或其他形式转让本公司或任何第三方的专利、商标、版权或所有权或其下的任何权利或许可。
除和芯星通在其产品的销售条款和条件中声明的责任之外,本公司概不承担任何其它责任。
并且,和芯星通对其产品的销售和/或使用不作任何明示或暗示的担保,包括对产品的特定用途适用性、适销性或对任何专利权、版权或其它知识产权的侵权责任等,均不作担保。
若不按手册要求连接或操作产生的问题,本公司免责。
和芯星通可能随时对产品规格及产品描述作出修改,恕不另行通知。
对于本公司产品可能包含某些设计缺陷或错误,一经发现将收入勘误表,并因此可能导致产品与已出版的规格有所差异。
如客户索取,可提供最新的勘误表。
在订购产品之前,请您与本公司或当地经销商联系,以获取最新的规格说明。
*和芯星通、UNICORECOMM,NebulasII及其徽标已由和芯星通科技(北京)有限公司申请注册商标。
其它名称和品牌分别为其相应所有者的财产。
版权所有© 2009-2021,和芯星通科技(北京)有限公司。
保留所有权利。
i前言本手册为您提供有关和芯星通UM4B0的硬件特性,安装使用和性能指标等信息。
注:本手册为通用版本,请用户根据实际购买产品配置,针对RTK、Heading、DGPS等不同使用需求选择参考阅读。
适用读者本手册适用于对GNSS接收机有一定了解的技术人员使用。
它并不面向一般读者。
目录1产品简介 (1)1.1产品主要特点 (2)1.2技术指标 (2)1.3模块概览 (3)2硬件组成 (5)2.1机械尺寸 (5)2.2引脚定义及惯性导航坐标系说明 (6)2.3引脚功能描述 (6)2.4电气特性 (9)2.5运行条件 (9)2.6物理特性 (10)3硬件集成指南 (10)3.1设计注意事项 (10)3.2UM4B0最小推荐设计 (12)3.3引脚注意事项 (13)3.4布局与布线 (14)3.5模块复位信号 (14)3.6天线 (15)3.7外部天线馈电设计 (15)4连接与设置 (17)4.1静电防护 (17)4.2安装导引 (17)4.3加电启动 (21)4.4设置与输出 (21)4.4.1操作步骤 (22)5常用设置指令 (23)5.1基准站设置 (25)5.2流动站设置 (26)5.3移动基站设置 (26)5.4H EADING设置 (27)5.5H EADING2定向设置 (28)5.6惯性导航 (29)i6天线检测 (30)7固件升级 (30)8生产要求 (32)9包装 (33)10产品有害物质说明 (34)UM4B0 User Manual 1产品简介UM4B0是和芯星通自主研发的全系统多频高精度RTK定位定向模块,轻小型的单面表贴封装,极大增加了RTK技术产品的使用范围,可应用于GIS信息采集、无人机、轻型机器人、智能驾驶等领域。
基于MAX31865温度检测器的解决方案
基于MAX31865温度检测器的解决方案MAX31865是一款集成的的RTD数字转换器,单芯片解决方案,能替代多个分立元件来降低成本。
MAX31865提供简单而准确的测量温度,是在工业领域常用的测量值,因此非常适合用于测量和过程控制应用。
MAX31865是完全集成的RTD数字转换器,该单芯片方案可将系统成本降低50%,并可处理工业设计中常见的铂RTD (如Pt100或Pt1000)电阻数字转换问题。
该器件能够简便、准确地测量温度,是工业测量和过程控制的理想选择。
图1 参考原理图1图2 参考原理图2MAX31865主要特性•简单的数字值的转换铂RTD电阻•处理100Ω到1kΩ(0℃)PTRTD(PT100 PT1000)•适合于2、3和4线传感器连接•转换时间:最大21ms•15位ADC分辨率;额定温度分辨率0.03125℃(由于RTD非线性变化) •在所有操作条件下的总精度:0.5℃(0.05%满量程)•±50V的输入保护•全差分V REF输入•故障检测(开放式电阻元件、RTD输出范围电压、短路或短路电阻元件) •SPI兼容接口•20引脚TQFN封装MAX31865方案特点MAX31865评估板(EV套件)提供了评估MAX31865 RTD,数字转换器的硬件和软件(图形用户界面)。
EV套件包括,一个MAX31865ATP,以及一个USB至SPI接口。
图3 toplayer 图图4 bottomlayer 图评估套件的USB至SPI主控部分可以用于与MAX31865评估板软件互动,并执行设备的功能。
•轻松评估MAX31865•完全组装和测试•USB HID接口•评估板硬件是USB供电(包括USB 电缆)•Windows XP,Windows Vista的和Windows7兼容软件•符合RoHS标准•验证的PCB布局。
MP2672A 评估套件用户指南(EVKT-MP2672A)说明书
EVKT-MP2672AUSER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)User Guide MP2672A Evaluation Kit (EVKT-MP2672A)USER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A) Table of ContentsOverview 0Introduction 0Kit Contents 0Features and Benefits 0Kit Specifications 0Section 1. Hardware Specifications 01.1 Personal Computer Requirements 01.2 EV2672A-D-00A Specifications 01.3 EVKT-USBI2C-02 Specifications 0Section 2. Software Requirements 02.1 Software Installation Procedure 0Section 3. Evaluation Kit Test Set-Up (6)3.1 Hardware Set-Up (6)3.2 Powering Up the EVB (6)3.3 Software Set-Up 03.4 Device Programming Instructions 03.5 Troubleshooting Tips (9)Section 4. Ordering Information 0USER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)OverviewIntroductionThe EVKT-MP2672A is an evaluation kit for the MP2672A, which can be controlled by the GUI to charge and discharge 2-cell batteries. Its layout accommodates most commonly used components. The default function of this board is preset for host-control mode, and the battery regulation voltage is preset to 8.4V for 2-cell Li-ion batteries.Kit ContentsEVKT-MP2672A kit contents (items below can be ordered separately, and the GUI installation file and supplemental documents can be downloaded from the MPS website).# Part Number Item Quantity 1 EV2672A-D-00A MP2672A evaluation board 12 EVKT-USBI2C-02-BAG Includes one USB to I2C USB communication interface, one USB cable, and one ribbon cable1USB Cable Ribbon CableInput PowerSupplyLoadInputOutputUSB to I2CCommunicationInterfaceBattery Figure 1: EVKT-MP2672A Evaluation Kit Set-UpUSER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)Features and Benefits∙ 4.5V to 6.0V Operating Input Voltage∙Up to 2A Configurable Charge Current for Battery with 2 Cells in Series∙Compatible with Host-Control and Standalone Mode∙NVDC Power Path Management∙Configurable Input Voltage Limit∙Configurable Charge Voltage with 0.5% Accuracy∙No External Sense Resistor Required∙Integrated Cell-Balancing Circuit for Cell Mismatch∙Preconditioning for Fully Depleted Battery∙Flexible New Charging Cycle Initiation∙Charging Operation Indicator in Standalone Mode∙Missing Battery Detection in Host-Control Mode∙I2C Port for Flexible System Parameter Setting and Status Reporting in Host-Control Mode∙Negative Temperature Coefficient Pin for Temperature Monitoring Compliant with JEITA∙Built-In Charging Protection and Programmable Safety Timer∙MOSFET Cycle-by-Cycle Over-Current Protection (OCP)∙Thermal Regulation and Thermal Shutdown⚠️Any changes made in I2C mode are not retained once the EVB is powered down.⚠️Information written in OTP mode cannot be changed.Adjustable features are outlined below:I2C OTP∙Battery Regulation Voltage∙Battery Pre-Charge Voltage∙Cell Balance Start Threshold∙Cell Voltage Mismatch Threshold ∙Fast Charge Current∙Switching Frequency∙Charge Timer ∙Battery Regulation Voltage∙Battery Pre-Charge Voltage∙Cell Balance Start Threshold∙Cell Voltage Mismatch Threshold ∙Fast Charge Current∙Switching Frequency∙Thermal Regulation Threshold∙Auto-Recharge_EN Default Status ∙Balance_EOC_EN Default Status ∙NVDC Mode_EN Default StatusKit SpecificationsFeature SpecificationSupply for Board 4.5V to 6.0VOperating Input Voltage 4.5V to 6.0VCharge Capability Maximum 2A/8.4V (I2C-configurable)System Load Capability Maximum 2.5A / BATT + 300mV (I2C-configurable)Operating Systems Supported Windows XP, 7, or later System Requirements Minimum 22.2MB free GUI Software MP2672A V1.2USER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)Section 1. Hardware Specifications1.1 Personal Computer RequirementsThe following minimum conditions must met to use the EVKT-MP2672A: ∙ Operating System of Windows XP, 7, or later ∙ Net Framework 4.0∙ PC with a minimum of one available USB port ∙At least 22.2MB of free space1.2 EV2672A-D-00A SpecificationsThe EV2672A-D-00A is an evaluation board for the MP2672A. For more information, refer to the EV2672A-D-00A datasheet.Figure 2: EV2672A-D-00A Evaluation Board1.3 EVKT-USBI2C-02 SpecificationsThe EVKT-USBI2C-02 refers to the USB to I 2C communication interface device, which connects the EVB, the PC, and its supporting accessories. It provides I 2C capabilities. Together withMPS’s Virtual Bench Pro and GUI tools, it provides a quick and easy way to evaluate the performance of MPS digital products. For more details, refer to the EVKT-USBI2C-02 datasheet.Figure 3: EVKT-USBI2C-02 Communication InterfaceFeatureSpecification Supply for Evaluation Board4.5V to 6.0VOperating Input Voltage 4.5V to 6.0V Charge Capability Maximum 2A/8.4V (I 2C-configurable) System Load Capability Maximum 2.5A/6.7V (I 2C-configurable) EVB Size (LxW)6.35cmx6.35cmUSER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)Section 2. Software Requirements2.1 Software Installation ProcedureConfiguration occurs through the MPS I2C GUI. Follow the instructions below to install the software: Note: This software can be downloaded from MPS website.1. Download and extract the zip package titled “Programming Tool-MP2672A.”2. Double click the .exe file to open the set-up guide (see Figure 4). If a protection window comes up,click “More info,” then click “Run anyway.”3. Follow the prompts in the set-up guide.4. Wait for the status screen to verify that installation is complete (see Figure 5).Figure 4: MPS I2C GUI Set-Up GuideFigure 5: Successful Driver Set-UpUSER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)Section 3. Evaluation Kit Test Set-Up3.1 Hardware Set-UpThe hardware must be properly configured prior to use. Follow the instructions below to set up the system:1. Locate the proper wires to connect the EVB to the EVKT-USBI2C-02 communication interface.2. Connect SCL, SDA, and GND (see Figure 6). Refer to the MP2672A datasheet for further clarification.Figure 6: Wire Connection Between EVB and MPS I2C Communication Interface3.2 Powering Up the EVB1. Connect the load terminals to:a. Positive (+): SYSb. Negative (-): GND2. Connect the battery terminals to:a. Positive (+): BATTb. Negative (-): GNDIf using a battery emulator, preset the battery voltage between 0V and 9.0V, then turn it off. Connect the battery emulator output to the BATT and GND pins.3. Preset the power supply output between4.5V and 6.0V, then turn the power supply off.4. Connect the power supply terminals to:a. Positive (+): VINb. Negative (-): GND5. Ensure that the battery voltage is present (if using a battery emulator, turn the battery emulator on),then turn the power supply on. The IC should automatically enter the start-up sequence.USER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)3.3 Software Set-UpAfter connecting the hardware according to the steps above, follow the steps below to use the GUI software:1. Start the software. It should check the EVB connection automatically.∙If the connection is successful, both the EVKT-USBI2C-02 and MP2672A demo board statuses will be listed as “Connected” in green (see Figure 7).Figure 7: Connected EVKT-USBI2C-02 and MP2672A Demo Board ∙If the connection is unsuccessful, they will be listed as “Not Connected” in red. In this case, check the connections between the EVB, communication interface, and PC. Re-plug the USB into computer.o If the MP2672A demo board is listed as “No t Connected,” this means that the evaluation board is not connected correctly.o If the USB is listed as “No t Connected,” this means that the USB I2C communication interface is not connected correctly2. Click the “Read All Registers” button to read the I2C register values. The default values should bedisplayed (see Figure 7).3. Find the item to be changed, then select a value from the drop-down menu.4. Click the “Write All” button to update the values. The item’s changed information should bedownloaded to the IC.⚠️ All changes made via the I2C are restored to default values once the EVB shuts down.USER GUIDE – MP2672A EVALUATION KIT (EVKT-MP2672A)3.4 Device Programming InstructionsThe MP2672A is a one-time programmable (OTP) device. Follow the instructions below to create and export customized configurations:1. Use a computer to open the MPS GUI software. Ensure that the EVB is powered on.2. Ensure that the EVB and computer are connected.3. Select “OTP View” in the toolbar (see Figure 8).Figure 8: Select OTP4. Enter a new table (see Figure 9). All of the parameters that can be changed are highlighted in blue inFigure 9.Figure 9: Adjustable Parameters in OTP Mode5. Select the desired values from the drop-down menus.6. Ensure that all the parameters are populated before clicking “Export” in the toolbar. The selectedconfigurations will then be exported (see Figure 10).Figure 10: Exporting Configurations7. Find a location for the exported file, then click “Save.” The configurations will save as a .txt file (seeFigure 11).Figure 11: Saving Configurations8. Send this file to an MPS FAE to apply for the customized “xxxx” code.3.5 Troubleshooting TipsEVKT-USBI2C-02 Driver ProblemIf the USBI2C-02 driver is not properly installed, manual installation is required. Follow the steps below: Note: Check the driver version. Find “USBXpress Device” in the Device Manager under USB controllers.Right-click and view properties. Ensure that the driver version matches the newest version. If the PC is running Windows 10, Windows 10 may automatically install the older USB driver, which is not compatible. The correct driver version should be 4.0.0.0 or newer (see Figure 12).1. Install the correct USBXpress “.exe” file according to the Windows operating system (32-bit or 64-bit).32-bit: \MPS\Programming Tool-MP2672A\usb driver\USBXpressInstaller_x86.exe64-bit: \MPS\Programming Tool-MP2672A\usb driver\USBXpressInstaller_x64.exe2. Connect the EVKT-USBI2C-02 communication interface to the PC with the USB cable.Figure 12: Correct Driver Version Should Be 4.0.0.0 Or NewerNo SupplyThe IC’s input pin has an under-voltage lockout (UVLO) detection circuit. If the input voltage (V IN) is below the UVLO rising threshold, the charging function is disabled.No Charging EventIf the IC detects that V IN is below the UVLO falling threshold (the device enters a no-supply state) or over-temperature protection (OTP) is triggered (the device enters a shutdown state), then the IC switches to charge suspend mode, and the system is powered by the battery.Thermal RecoveryIf the die temperature exceeds the thermal protection threshold, MP2672A (boost converter) enters thermal shutdown. Once the die temperature falls back within the save operation range, the IC initiates a new power-on sequence and resumes normal operation.Section 4. Ordering InformationThe components of the evaluation kit can be purchased separately, depending on user needs. Part Number DescriptionEVKT-MP2672A Complete evaluation kitContents of EVKT-MP2672AEV2672A-D-00A MP2672A-xxxx evaluation boardEVKT-USBI2C-02 bag Includes one USB to I2C communication interface, one USB cable, and one ribbon cableOnline resources Include datasheet, user guide, product brief, and GUI Order directly from or our distributors.Revision HistoryRevision # RevisionDateDescriptionPagesUpdated1.0 11/6/2020 Initial Release -EVKT-MP2672A。
ACPL-798J 评估板套件 (PMOD Type 1 接口) 隔离 sigma-delta 模块
ACPL-798J Evaluation Board Kit (PMOD Type 1 Interface)Isolated Sigma-Delta Modulator with LVDS InterfaceUser GuideDescriptionThe ACPL-798J isolated sigma-delta (Σ−Δ) modulator converts an analog input signal into a high-speed (up to 25MHz) single-bit data stream by means of a sigma-delta over-sampling modulator. The time average of the modulator data is directly proportional to the input signal voltage. The modulator uses external clock ranges from 5 MHz to 25 MHz that is coupled across the isolation barrier. This arrangement allows synchronous operation of data acquisition to any digital controller, and adjustable clock for speed requirements of the application. The modulator data are encoded and trans-mitted across the isolation boundary where they are recovered and decoded into high-speed data stream of digital ones and zeros. The original signal information is represented by the density of ones in the data output. [1]Input signal information is contained in the modulator output data stream, represented by the density of ones and zeros. The density of ones is proportional to the input signal voltage, as shown in Figure 1. A differential input signal of 0 V ideally produces a data stream of ones 50% of the time and zeros 50% of the time. A differential input of –200 mV corresponds to 18.75% density of ones, and a differential input of +200 mV is represented by 81.25% density of ones in the data stream. A differential input of +320 mV or higher results in ideally all ones in the data stream, while input of –320 mV or lower will result in all zeros ideally. Table 1 shows this relationship.Figure 1. Modulator output vs. analog inputTable 1. Input voltage with ideal corresponding density of 1s at modulator data output, and ADC code.Analog InputVoltage InputDensity of 1sADC Code (16-bit unsigned decimation)Full-Scale Range 640 mV +Full-Scale+320 mV 100%65,535+Recommended Input Range +200 mV 81.25%53,248Zero0 mV 50%32,768–Recommended Input Range –200 mV 18.75%12,288–Full-Scale–320 mV0%–FS (ANALOG INPUT)+FS (ANALOG INPUT)0 V (ANALOG INPUT)TIMETable 1 Input voltage with ideal corresponding density of 1s at modulator data output, and ADC code.Figure 2. CIC or Sinc3 filter block.By scaling the filter decimation ratio, it is possible to scale the resolution vs response speed accordingly and vice versa.Table 2. Flexibility to scale, resolution vs speed.Decimation Ratio (R)Fs=20MHzFs=10MHzThroughput Rate (Fs/R) KHz Effective Numberof Bits (ENOB)Filter Delay (us)Throughput Rate (Fs/R)Effective Number of Bits (ENOB)Filter Delay (us)25678.11212.839.11225.6128156.211 6.478.11112.864312.511 3.2156.211 6.43262591.6312.5103.2The original analog signal that is converted to a digital bit stream by the over-sampling sigma-delta modulator, can be recovered by means of filtering in the digital domain. A common and simple way is through implementation of a cas-caded integrated comb (CIC) filter or Sinc3 filter. The digital filter averages or decimates the over-sampled bit stream and effectively converts it into a multi-bit digital equivalent code of the original analog input signal. With a 20MHz external clock frequency, 256 decimation ratio and 16-bit word settings, the output data rate is 78 kHz (= 20MHz/256). This filter can be implemented in an ASIC, an FPGA or a DSP .CIC (Cascaded Integrated Comb Filter) or Sinc3 Filter BlockAnalog InputThis User Manual is provided to assist you in the evaluation of product(s) currently under development. Until Avago Technologies releases this product for general sales, Avago Technologies reserves the right to alter prices, specifica-tions, features, capabilities, functions, release dates, and remove availability of the product(s) at anytime.PMOD Interface Evaluation BoardThe purpose of the ACPL-798J PMOD interface type 1 evaluation board is to make it easier for system designer to quickly assemble and integrate Avago’s ACPL-798J LVDS digital modulator to FPGA, DSP or microcontroller development kits / reference boards which also come with PMOD interface for prototyping or evaluation purpose with not soldering required.Pmod interface or Peripheral Module interface is a standard defined by Digilent Inc in the Digilent Pmod™ Interface Specification [2] for peripherals used with FPGAs or micro-controllers. Pmods come in a standard 6-Pin interface with 4 signals, one ground and one power pin. Double and quad Pmods also exist. These duplicate the standard interface to allow more signals to pass through to the module.Figure 3. PMOD type 1 port (6-pin configuration)Figure 4 demonstrates how the 798J PMOD interface type 1 evaluation board is used together with a FPGA develop-ment kit.Figure 4. 798J PMOD type 1 evaluation board interface to FPGA development kitVcc GNDSingle row module uses 4 IO pinsVcc GNDDual row module uses 8 IO pinsPMOD TM PortsPMOD TM port = 2 row of 6 pins eachPlug-in modules can have either one or two rows of pinsSinc3 filter can be easily programmed into FPGA in VHDL or Verilog environment. An example of a 16-bit output Sinc3 fil-ter code is provided at the Appendix of this userguide for both VHDL and Verilog. In this example, two pins are assigned to allow selection of three decimation ratio settings, 256, 128 and 64.If the FPGA is configured for LVDS interface, toggle all pins 1 to 4 of dip switch selector to the left. If FPGA is configured to drive single-ended board clock frequency and to receive single ended data, toggle all pins 1 to 4 of dip switch selector to the right. A pair of LVDS driver and receiver are included in the evaluation board to translates LVDS differential clock and data signals to single ended signal respectively. Please refer to schematic diagram on the last page for illustration.Figure 5. Avago 798J PMOD interface evaluation board output interfacing to PMOD type 1Output pin configuration of Avago 798J PMOD interface evaluation boardPinEvaluation BoardPMOD Type 1 Port15V Vcc 2GNDGnd 3Differential Clock +User I/O 4Differential Clock -User I/O 5Differential Data +User I/O 6Differential Data -User I/OV c c G n d U s e r I O 1U s e r I O 2U s e r I O 3U s e r I O 4Pmod InterfaceType 1Reference[1] Datasheet ACPL-798J Optically Isolated Sigma-Delta Modulator with LVDS Interface, publication number AV02-4339EN [2] Digilent Pmod™ Interface Specification (PDF), Digilent, Inc., November 20, 2011A 10mΩ shunt resistor is included in this evaluation board to demonstrate the current sensor function. It’s suitable for current sensing up to 15Arms. For higher current sensing application, choose appropriate shunt resistance value and power rating accordingly.Shunt Resistor Value SelectionOne example to select the shunt resistor value is shown below:If maximum rms current through motor = 10A, 50% overloads during normal operation, then, peak current is 21.1 A (=10 x 1.414 x 1.5). Recommended max. input voltage for ACPL-798J = ±200mV.• Shunt resistor value = V/I = 200mV/21.1A ≈ 10mΩ• Power dissipation = I2*R = (10)2 * 10mΩ = 1WA list of high precision shunt resistor manufacturers is available at the Appendix.APPENDIX A - PCBFront PCBRear PCBVishay Dale WSR-20.015Ω, 2W shuntresistorMotien VA-0505DLH 5V/5V isolated DC-DC converter3.3V voltage regulatorACPL-798J LVDS Digital ModulatorClock (Mclkin) and Data (Mdat) Single-ended / Di erential dipswitchAnalog Current InputLVDS receiverLVDS driverPMOD Interface Type 1FPGA 3.3V I/O interfaceAPPENDIX B - 16-bit Output Sinc3 Filter Code16-bit Output Sinc3 Filter Code with three selectable decimation factors 256, 128 and 64 (hardware pin select) 1. Verilog Code/*-----------------------------------------------------------Avago Technologies Confidential----------------------------------------------------------------------------------------------------------------------*//* Create Date: 09/13/2011Design Name: cic_filterModule Name: cic_filter.vDescription: CIC filter (SINC3 digital filter)with decimation value 64, 128, 256Revision:Revision 0.01 - File CreatedAdditional Comments:*/module cic_filter ( clk, reset, sel, filter_in, filter_out, word_clk );input clk; // sigma delta adc clockinput reset;input [1:0] sel; //Control decimation factor 64, 128 and 256input filter_in; // sigle bit sigma delta bit streamoutput [15:0] filter_out; // 16 bit digital filter outputoutput word_clk; // Decimated Clock//**********************************////////////////////////////////sel = 2'b00 --> Decimation Factor = 256//sel = 2'b01 --> Decimation Factor = 128//sel = 2'b10 --> Decimation Factor = 64//sel = 2'b11 --> Decimation Factor = 256/////////////////////////////wire [24:0] ip_data1;reg [24:0] acc1;reg [24:0] acc2;reg [24:0] acc3;reg [24:0] acc3_d2;reg [24:0] diff1;reg [24:0] diff2;reg [24:0] diff3;reg [24:0] diff1_d;reg [24:0] diff2_d;reg [15:0] filter_out;reg [7:0] word_count;reg word_clk;//**********************************assign ip_data1 = (filter_in == 1'b1)? 25'h1 : 25'h0;//accumulation processalways @(posedge clk or posedge reset)beginif (reset)beginacc1 <= 0;acc2 <= 0;acc3 <= 0;endelse beginacc1 <= acc1 + ip_data1;acc2 <= acc2 + acc1;acc3 <= acc3 + acc2;endendalways @(posedge clk or posedge reset)beginif (reset)word_count <= 0;else beginif(word_count8'b11111111)==0;word_count<=elseword_count <= word_count + 1; endend//Decimation Stagealways @(sel or word_count)beginif(sel == 2'd0)if (word_count == 8'b11111111)word_clk <= 1'b1;elseword_clk <= 1'b0;else if(sel == 2'd1)if (word_count[6:0] == 7'b1111111)word_clk <= 1'b1;elseword_clk <= 1'b0;else if(sel == 2'd2)if (word_count[5:0] == 6'b111111)word_clk <= 1'b1;elseword_clk <= 1'b0;elseif (word_count == 8'b11111111)word_clk <= 1'b1;elseword_clk <= 1'b0;end//DIFFERENTIATORalways @(posedge clk or posedge reset)beginif(reset) beginacc3_d2 <= 0;diff1_d <= 0;diff2_d <= 0;diff1 <= 0;diff2 <= 0;diff3 <= 0;endelse beginif (word_clk)begindiff1 <= acc3 - acc3_d2;diff2 <= diff1 - diff1_d;diff3 <= diff2 - diff2_d;acc3_d2 <= acc3;diff1_d <= diff1;diff2_d <= diff2;endendend// filter_out --> Filtered 16 bit outputalways @(posedge clk or posedge reset)beginif (reset)filter_out <= 16'h0000;else beginif (word_clk)beginif(sel == 2'd0) begin //Decimation ratio 256if (diff3[24] ==1'b1)filter_out <= 16'hffff;elsefilter_out <= diff3[23:8];endelse if(sel == 2'd1) begin //Decimation ratio 128if (diff3[21] ==1'b1)filter_out <= 16'hffff;elsefilter_out <= diff3[20:5];endelse if(sel == 2'd2) begin //Decimation ratio 32if (diff3[18] == 1'b1)filter_out <= 16'hffff;elsefilter_out <= diff3[17:2];endelse beginif (diff3[24] ==1'b1) //Decimation ratio 256filter_out <= 16'hffff;elsefilter_out <= diff3[23:8];endendendendendmodule2. VHDL Code------------------------------------------------------------------------ Avago Technologies Confidential-------------------------------------------------------------------------- Author: W ongCH---- Create Date: 31-05-2011-- Design Name: filter-- Module Name: filter.vhd-- Project Name:-- Target Device:-- Tool versions:-- Description: SINC3 digital filter------ Dependencies:---- Revision:-- Revision 0.01 - File Created-- Additional Comments:----------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity filter isPort ( clk : in STD_LOGIC;reset : in STD_LOGIC;mdata : in std_logic;setting : in std_logic_vector(7 downto 0);word_clk : out std_logic;fil_data : out std_logic_vector(15 downto 0) );end filter;Architecture rtl of filter issignal ipdata1 : std_logic_vector(24 downto 0);signal acc1 : std_logic_vector(24 downto 0);signal acc2 : std_logic_vector(24 downto 0);signal acc3 : std_logic_vector(24 downto 0);signal acc3_d2 : std_logic_vector(24 downto 0);signal diff1 : std_logic_vector(24 downto 0);signal diff2 : std_logic_vector(24 downto 0);signal diff3 : std_logic_vector(24 downto 0);signal diff1_d : std_logic_vector(24 downto 0);signal diff2_d : std_logic_vector(24 downto 0);signal data : std_logic_vector(15 downto 0);signal word_count : std_logic_vector(7 downto 0);signal i_word_clk : std_logic;beginp_mdata: process(mdata)beginif mdata = '0' thenipdata1 <= "0000000000000000000000000";elseipdata1 <= "0000000000000000000000001";end if;end process;VHDL Code (Continued...)p_acc: process(reset, clk)beginif (reset = '1') thenacc1 <= (others => '0');acc2 <= (others => '0');acc3 <= (others => '0');elsif (clk ='1' and clk'event) thenacc1 <= acc1 + ipdata1;acc2 <= acc2 + acc1;acc3 <= acc3 + acc2;end if;end process;p_dec_clk: process(reset, clk)beginif (reset = '1') thenword_count <= (others => '0');elsif (clk ='1' and clk'event) thenword_count <= word_count + '1';end if;end process;process(word_count, setting)beginif setting(7 downto 4) = "1111" thencase setting(1 downto 0) iswhen "00" =>if word_count(7 downto 0) = "11111111" theni_word_clk <= '1';elsei_word_clk <= '0';end if;when "01" =>if word_count(6 downto 0) = "1111111" then i_word_clk <= '1';elsei_word_clk <= '0';end if;=>"10"whenif word_count(5 downto 0) = "111111" then i_word_clk <= '1';elsei_word_clk <= '0';end if;=>whenOthersif word_count(4 downto 0) = "11111" then i_word_clk <= '1';elsei_word_clk <= '0';end if;case;endelsei_word_clk <= '0';end if;end process;word_clk <= i_word_clk;p_diff: process(reset, clk)VHDL Code (Continued...)beginif (reset = '1') thenacc3_d2 <= (others =>'0');diff1_d <= (others =>'0');diff2_d <= (others =>'0');diff1 <= (others =>'0');diff2 <= (others =>'0');diff3 <= (others =>'0');elsif (clk ='1' and clk'event) thenif i_word_clk ='1' thenacc3;<= acc3_d2-acc3_d2;acc3 diff1<=diff1;diff1_d<=diff1-diff1_d;<=diff2diff2;<= diff2_d-diff2_d;diff2 diff3<=if;endend if;end process;p_data: process(reset, clk)beginif (reset = '1') thendata <= (others =>'0');elsif (clk ='1' and clk'event) thenif i_word_clk ='1' thenif setting(7 downto 4) = "1111" then case setting(1 downto 0) iswhen "00" => --decimation ratio= 256if diff3(24) ='1' thendata <= (others =>'1');elsedata <= diff3(23 downto 8);end if;when "01" => --decimation ratio = 128if diff3(21) ='1' thendata <= (others =>'1');elsedata <= diff3(20 downto 5);end if;when "10" => --decimation ratio =64if diff3(18) ='1' thendata <= (others =>'1');elsedata <= diff3(17 downto 2);end if;when others => --decimation ratio = 32if diff3(15) ='1' thendata <= (others =>'1');elsedata <= diff3(14 downto 0) & '0';end if;end case;elsedata <= "0000000000000000";end if;if;endend if;end process;fil_data <= data;end rtl;APPENDIX C - Shunt Resistor Manufacturer1) KOA {http://www.koanet.co.jp}2) Micron Electric (Japan) {http://www.micron-e.co.jp/}3) International Resistive Company (IRC) {/}4) Isabellenhuette Isotek {/about-us/isabellenhutte}5) Precision Resistor {}6) Vishay-Dale {/videos/resistors/vishay-dale-shunt-resistors-an-overview}The above pictures show different types of high precision shunt resistors with different resistance values, tolerance and power dissipations offered by the manufacturers listed above.13A P P E N D I X D - S c h e m a t i c D i a g r a mFor product information and a complete list of distributors, please go to our web site: Avago, Avago Technologies, and the A logo are trademarks of Avago Technologies in the United States and other countries.Data subject to change. Copyright © 2005-2015 Avago Technologies. All rights reserved. AV02-4961EN - June 23, 2015DISCLAIMER: Avago’s products and software are not specifically designed, manufactured or authorized for sale as parts, components or assemblies for the planning, construction, maintenance or direct operation of a nucle-ar facility or for use in medical devices or applications. Customer is solely responsible, and waives all rights to make claims against Avago or its suppliers, for all loss, damage, expense or liability in connection with such use.Author: Lim Shiun Pin, Avago Isolation Product Division Application Engineer。
MAXQ1103评估板使用手册
不用“Hello World” 程序 ,我们建立一个简单的应用程序,一个让 MAXQ1103 评估板上 LED 管交替点亮的程序。
创建一个新的工程,点击 FILE→NEW→NEW BLANK SOLUTION,从弹出的菜单
2 of 8
选择“Executable”,并填写对话框底部的名称和位置内容。我们给项目取名字 为“BlinkyDemo”和存储路径为“c:\work\maxq\maxq1103\blinky”,如图 2。
按下暂停按钮(或进入 DEBUG→BREAK) 。黄色的箭头就会出现在停止的代 码行那里。代码在 delayms 函数内停止执行(见图 6 )。
图 6:代码在 delayms 函数内停止执行 仔细检查 右侧 'Locals'窗口(如果没有显示此窗口 ,选择 DEBUG→DEBUG WINDOWS→LOCALS)。它应该显示当前变量值 ‘x’ 和‘数’。现在按步进按钮(见 图 7)一些时间。你就能在'Local'窗口中看到'x'的值减小了。
图 9:Step Into button 也可以在运行的时候更改变量(和寄存器)。清除所有的中断点( DEBUG→ BREAKPOINTS→CLEAR ALL BREAKPOINTS),点击 GO。点击暂停会再次停留在中间 的 delayms ( )函数中。注意变量‘x’和‘计数’。现在将‘x’改成 2499(点 击‘x’显示的值,当它高亮显示时,输入 2499)。点击几次‘Step Over’或者 ‘Step Into’将看到循环结束和‘count’值减少。
图 7:步进按钮 我们继续按步进按钮直到循环结束,但是这个将一直执行下去。真正我们要 回答的问题是“灯是在闪烁吗?” 。因此在主函数中的 delayms(5)那行程序中 设置中断点。通过点击小三角形来设置中断,离开这行代码,它将变成一个红色 圆圈(图 8 ) 。现在重新运行应用程序(DEBUG→GO 或者按'Play'按 钮 )。应用 程序运行到中断点并挂起。现在单击 Go 几次,当按一下应该可以看到 LED 灯或 亮或灭。这验证灯光闪烁如此之快,我们的眼睛感受不到。
SX1276_1277_1278评估套件用户手册 V1.00
广州致远电子股份有限公司ZM470SX-DEMO470模块类别内容 关键词无线通信;470模块;驱动例程;LORA 调制 摘 要修订历史版本日期原因V1.00 2015/9/25 创建文档目录1. 产品简介 (1)2. 功能测试 (2)2.1 安装驱动 (2)2.2 驱动例程简介 (2)2.3 通信 (2)2.3.1 按键发送数据 (2)2.3.2 串口收发数据 (2)2.4 按键调节发射功率 (3)3. ZM470SX模块硬件指南 (5)3.1 硬件电路 (5)3.2 主要寄存器描述 (5)3.2.1 中断状态 (5)3.2.2 中断使能控制寄存器 (6)3.3 ISP下载程序 (6)3.4 调试接口 (7)4. ZM470SX驱动简介 (8)4.1.1 射频参数配置 (8)4.1.1 初始化模块 (8)4.1.2 发送数据 (8)4.1.3 主函数流程 (9)5. 解决故障 (12)6. 免责声明 (13)1. 产品简介ZM470SX-M是广州致远电子针对470MHz频段远距离通信需求而开发的超值无线模块。
ZM470SX-DEMO是为帮助用户快速上手ZM470SX-M模块而开发的评估套件,评估板实物如图1.1所示。
ZM470SX-DEMO这个型号包含了两个板子。
图1.1 评估板实物图评估板的正面安装有电池盒,评估板套件不带电池,需要客户自备2节5号电池,当然也可以用USB供电。
评估板部件相关描述见表1.1。
表1.1 评估板部件描述部件描述电源开关选择电源供电方式,USB或电池串口(USB形式) USB转串口,用USB电缆直接连到电脑,电脑需要安装相应的驱动,可用来下载程序和数据收发按键通用按键,可作为外中断输入LED指示灯指示灯调试接口可用J-Link进行调试天线天线需要用频点在470MHz,阻抗50Ω的ZM470SX-M 470 MHz无线收发模块ISP选择先短接J2和(串口接口)J6,然后复位MCU进入ISP状态模块引脚接口需要用短路器短接,否则模块引脚与MCU引脚是断开的电池盒电池输出经电源开关(S1)连接到VCC网络2. 功能测试2.1 安装驱动使用前请在产品光盘中的CP210x_VCP_Windows.zip找到CP210X驱动程序,安装完毕后再进行后面的操作。
RW1820评估板使用指南
RW1820评估板使用指南评估板硬件介绍适配器USB-KIT20通过USB 标准接口,实现Single_Line 接口的转换,用于评估Single-Line 接口的数字温度传感器芯片RW1820。
图1为适配器USB-KIT20的图片,在图片中标注了跳线器、RW1820插座及外接Single-Line 接口的接线端子的定义。
评估板驱动程序适配器USB-KIT20可以工作在Windows XP, Vista, Win 7等操作系统,只需要安装所需要的USB 驱动程序即可。
其驱动程序名为:CH341SER.EXE ,位于压缩文件文件夹USB Driver 目录下。
在执行USB 安装驱动程序时,请先不要插入适配器USB-KIT20,在系统提示安装程序完成后,再插入适配器USB-KIT20,系统将自动配置适配器USB-KIT20,完成USB 驱动程序的安装。
在USB 驱动程序安装完成之后,就可以执行评估板应用程序了。
跳线器选择VDD :+5V 或者+3.3V 缺省选择+5VUSB 接口评估板评估板软件软件软件部分部分在适配器USB-KIT20成功安装之后,就可以执行评估板应用程序,评估数字温度传感器RW1820。
执行评估板应用程序就可以打开图2所示的操作界面,图中所显示的是接入2片RW1820时候的界面。
如果在评估板上接入8片RW1820,且启动温度测量时,其界面显示如图3 所示。
在操作界面中,工具栏按钮及功能定义如下图所示。
功能描述RW1820评估板软件能够对RW1820的器件功能进行全面的评估,包括:温度测量性能、OTP 存储器读和写、RW1820的多节点通信能力等。
评估板可以在板上插座中插入一片RW1820,进行上述功能评估,也可以通过评估板上的接线端子接入的1片或者多达8片RW1820,进行功能评估和测试。
当评估板接入了新的RW1820时,请通过点击工具栏按钮搜索芯片或者搜索报警芯片,来查找这些芯片,搜索结果显示在左侧的ROM ID 列表中,如下图所示。
罗姆高压驱动器评估板 BM60213FV-C 评估板 BM60213FV-EVK001说明书
GND2 GATE_H
2/8
21 mm
No. 62UG050E Rev.001 2019.10
BM60213FV-EVK001
User’s Guide
Schematics
R11, R12, R13, R14, R15, R21, and R22 are implemented interim resisters for shipment check. Please replace each resister which can work with Power Device or input device appropriately.
depending on the circumstances, explosion or other permanent damages. [8] Be sure to wear insulated gloves when handling is required during operation.
[10] Protect against electric shocks by wearing insulated gloves when handling.
This evaluation board is intended for use only in research and development facilities and should by handled only by qualified personnel familiar with all safety and operating procedures. We recommend carrying out operation in a safe environment that includes the use of high voltage signage at all entrances, safety interlocks, and protective glasses.
ADuC8XX系列常见问题解答
ADuC8XX系列常见问题解答问题:ADUC8XX系列的开发方法和开发工具是怎样的?答案:ADuC8xx的开发方法是非常简便的。
ADUC8XX系列提供了评估板套件,以帮助用户熟悉ADuC8xx的开发方法和开发环境。
以ADUC831为例,EVAL- ADUC831QSZ套件包括评估板一块,下载线,9V电源和相应的软件光盘。
评估版套件中的光盘中包含了开发中用到的所有的软件,数据手册,应用笔记,评估板原理图、例子代码等信息。
如果没有购买评估板的用户想要得到此光盘, 可以联系800-810-1742或者发email至china.support@索取。
下面根据光盘中提供的各种开发软件对开发方法做一介绍。
1.Aspire它是一个集成开发环境。
可以编辑、编译、仿真及在线调试基于汇编语言和C语言的程序。
如果要使用在线调试功能,需要使用ACCUTRON公司的ACE仿真器。
这个ACE仿真器与PC的接口是USB,与芯片的接口只需一个管脚,所以称为单管脚调试。
2.Keil它是一个集成开发环境。
它支持编辑、编译、软件仿真。
目前最新版本的Keil C51支持UART口直接硬件在线调试,而不需仿真器(ACE)。
用户可在Keil的网站下载最新版本的软件。
3.WSD它是下载程序的工具。
当用Aspire或Keil编译生成*.hex文件后,可以用此软件把程序从PC上下载到芯片中。
PC与芯片之间的连接是通过串口实现的。
在两种评估板套件中,都包含了串口下载线。
如果没有购买评估板套件,您也可以自己在市场上买一根串口线。
但是需要在您的电路板上加入一颗RS232电平转换芯片。
如果您已经有ACE 仿真器,也可以不用WSD,而用ACE直接下载。
4.DEBUGV2它是在线调试汇编语言的工具。
也是通过串口来实现的。
不需要任何仿真器。
5.WASP它是用来评估ADuC8xx产品内部ADC性能的软件。
它也是通过串口与芯片通信。
不需要任何仿真器。
如需更加详细的软件使用方法,请参看光盘中的文档8XXGetStartedvx.x.pdf。
Xilinx Kintex UltraScale+ FPGA KCU116评估套件主要性能和优势
主要性能和优势Байду номын сангаас
Kintex? UltraScale+? FPGA KCU116 评估套件是评估重要 Kintex UltraScale+ 特性的理想选择,特别是 28Gbps 的收发器性能。 Kintex UltraScale+ 系列可为 FinFET 节点实现单位功耗性价比的最佳平 衡,从而可为高端解决方案提供最低成本的解决方案。 器件支持:?Kintex UltraScale+ FPGA 主要性能和优势: 4 个支持 28Gbps GTY 评估的 zSFP+ 模块 高达 32 位的 DDR4
兼容 PCIe Gen3 x8 并支持 Gen4 包括 FMC、HDMI 和 PMOD 在内的通用原型设计元件 特色附件 平台电缆 USB II FMC 环回卡 PCIe 环回卡 包装内容物含配件 支持 XCKU5P-2FFVB676E 的 KCU116 板 1x 28Gbps SFP28 电气环回模块
可访问全面 Vivado? 设计套件:设计版本的凭证 节点锁定及器件锁定 XCKU5P 组件包括 USB 线缆与电源等 特色技术文档 KCU116 快速入门指南
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
The EZ-KIT Lite evaluation system contains ESD (electrostatic discharge) sensitive devices. Electrostatic charges readily accumulate on the human body and equipment and can discharge without detection. Permanent damage may occur on devices subjected to high-energy discharges. Proper ESD precautions are recommended to avoid performance degradation or loss of functionality. Store unused EZ-KIT Lite boards in the protective shipping package.
ADSP-BF592 EZ-KIT Lite® Evaluation System Manual
Revision 1.1, July 2012 Part Number 82-000231-01
Analog Devices, Inc. One Technology Way Norwood, Mass. 02062-9106
Trademark and Service Mark Notice
The Analog Devices logo, Blackfin, CrossCore, EngineerZone, EZ-Extender, EZ-KIT Lite, and VisualDSP++ are registered trademarks of Analog Devices, Inc. All other brand and product names are trademarks or service marks of their respective owners.
CONTENTS
PREFACE
Product Overview ........................................................................... xi Purpose of This Manual ................................................................. xii Intended Audience ........................................................................ xiii Manual Contents .......................................................................... xiii What’s New in This Manual ........................................................... xiv Technical Support .......................................................................... xiv Supported Processors ....................................................................... xv Product Information ...................................................................... xvi Analog Devices Web Site .......................................................... xvi EngineerZone ........................................................................... xvi Related Documents ....................................................................... xvii Notation Conventions ................................................................... xvii
ADSP-Bm Manual
v
Contents
VisualDSP++ Install and Session Startup ....................................... 1-8 Session Startup ........................................................................ 1-8 CCES Evaluation License ........................................................... 1-10 VisualDSP++ Evaluation License ................................................. 1-11 Memory Map ............................................................................. 1-12 SPI Flash Memory Interface ........................................................ 1-13 Audio Interface ........................................................................... 1-13 Power-On-Self Test ..................................................................... 1-14 Expansion Interface II ................................................................. 1-14 Power Architecture ..................................................................... 1-15 VDDINT Programmable Regulator ............................................ 1-16 Power Measurements .................................................................. 1-17 Board Design Database ............................................................... 1-18 Example Programs ...................................................................... 1-18
Disclaimer
Analog Devices, Inc. reserves the right to change this product without prior notice. Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use; nor for any infringement of patents or other rights of third parties which may result from its use. No license is granted by implication or otherwise under the patent rights of Analog Devices, Inc.
Regulatory Compliance
The ADSP-BF592 EZ-KIT Lite is designed to be used solely in a laboratory environment. The board is not intended for use as a consumer end product or as a portion of a consumer end product. The board is an open system design which does not include a shielded enclosure and therefore may cause interference to other electrical devices in close proximity. This board should not be used in or near any medical equipment or RF devices. The ADSP-BF592 EZ-KIT Lite has been certified to comply with the essential requirements of the European EMC directive 2004/108/EC and therefore carries the “CE” mark. The ADSP-BF592 EZ-KIT Lite has been appended to Analog Devices, Inc. EMC Technical File (EMC TF) referenced DSPTOOLS1, issue 2 dated June 4, 2008 and was declared CE compliant by an appointed Notified Body (No.0673) as listed below. Notified Body Statement of Compliance: Z600ANA2.039 dated June 22 2010. Issued by: Technology International (Europe) Limited 56 Shrivenham Hundred Business Park Shrivenham, Swindon, SN6 8TY, UK