vhdl实验报告--蜂鸣器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

VHDL 实验报告

一、实验目的

1、掌握蜂鸣器的使用;

2、通过复杂实验,进一步加深对VHDL语言的掌握程度。

二、实验原理乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让蜂鸣器能够发声是不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由此可见,乐曲中每个音符的发音频率及其持续的时间是乐曲能够连续演奏的两个关键因素。

乐曲的12 平均率规定:每2 个八度音(如简谱中的中音1 与高音1)之间的频率相差1 倍。在2个八度音之间,又可分为12个半音。另外,音符A(简谱中的低音6)的频率为440Hz, 音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音I至高音1 之间每个音符的频率,如表所示。

音名频率/Hz 音名频率/Hz 音名频率/Hz 低音1 中音1 高音1

低音2 中音2 高音2

低音3 中音3 高音3

低音4 中音4 高音4

低音5 392 中音5 784 高音5 1568

低音6 440 中音6 880 高音6 1760 低音7 中音7 高音7

表简谱音名与频率的对应关系

产生各音符所需的频率可用一分频器实现, 由于各音符对应的频率多为非整数, 而分频系数又不能为小数, 故必须将计算得到的分频数四舍五入取整。若分频器时钟频率过低, 则由于分频系数过小, 四舍五入取整后的误差较大;若时钟频率过高,虽然误差变小,但分频数将变大。实际的设计应综合考虑两方面的因素, 在尽量减小频率误差的前提下取合适的时钟频率。实际上,只要各个音符间的相对频率关系不变,演奏出的乐曲听起来都不会走调。

音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定。因此, 要控制音符的音

长,就必须知道乐曲的速度和每个音符所对应的节拍数, 本例所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s 的话,那么一拍所应该持续的时间为秒,则只需要提供一个4HZ的时钟频率即可产生四分音符的时长。

本例设计的音乐电子琴选取40MHZ的系统时钟频率。在数控分频器模块,首先对时钟频率进行40分频,得到1MHZ的输入频率,然后再次分频得到各音符的频率。由于数控分频器

输出的波形是脉宽极窄的脉冲波, 为了更好的驱动蜂鸣器发声, 在到达蜂鸣器之前需要均衡占空比, 从而生成各音符对应频率的对称方波输出。这个过程实际上进行了一次二分频, 频率变为原来的二分之一即。

因此,分频系数的计算可以按照下面的方法进行。以中音1为例,对应的频率值为

523. 3Hz,它的分频系数应该为:

0.375MHZ 0.375 106

716 523.3 523.3

至于其他音符,同样可由上式求出对应的分频系数,这样利用程序可以很轻松地得到相应的乐声。

音名频率/Hz 分频系数音名频率/Hz 分频系数低音1 1911 咼音1 478 低音2 1702 咼音2 425 低音3 1517 咼音3 379 低音4 1431 咼音4 359 低音5 392 1276 咼音5 1568 319 低音6 440 1136 咼音6 1760 284 低音7 1014 咼音7 253 中音1 956 中音2 851 中音3 758 中音4 716

中音5 784 638 中音6 880 568

中音7 506

表各音名对应的分频系数

至于音长的控制,在自动演奏模块,每个乐曲的音符是按地址存放的,播放乐曲时按

4HZ的时钟频率依次读取简谱,每个音符持续时间为秒。如果乐谱中某个音符为三拍音长,

那又该如何控制呢其实只要在3个连续地址存放该音符,这时就会发三个秒的音长,即持续了三拍的时间,通过这样一个简单的操作就可以控制音长了。

三、实验步骤

1、设置端口

1)输入端口

CLK 40MHZ系统时钟输入端口。

2)输出端口

device :乐曲的声音输出端口,输出的是对应各音符频率的方波信号。

2、设置模块

1)自动演奏模块

自动演奏模块可以自动播放电子琴内置乐曲,按节拍读取内置乐谱。将键盘输入的音符

信号输出。因此,本模块是向Tone模块提供音符信息。

首先,对40MHz系统时钟进行10M的分频,得到4Hz的信号,这样一秒中就可以按照四拍进行。然后依照此频率进行地址累计。

2)音频发生器模块

根据自动演奏模块的信号输出,不同的信号被翻译为不同的频率。

3) 蜂鸣器驱动模块根据音频发生器发出音频的不同,蜂鸣器得到的驱动也不同。首先,对系统时钟进行

40分频,再对1mhz的脉冲再次分频,得到所需要的音符频率,然后再进行2分频。

四、实验代码

library ieee; use tone is port(

index: in std_logic_vector(15 downto 0); -- 音符输入信号

tone0: out integer range 0 to 2047 -- 音符的分频系数);

end tone;

architecture behavioral of tone is

begin

search :process(index) -- 此进程完成音符到音符的分频系数译码,音符的显示,高低音阶begin case index is

when "0000000000000001" => tone0<=1433;

when "0000000000000010" => tone0<=1277;

when "0000000000000100" => tone0<=1138;

when "0000000000001000" => tone0<=1074;

when "0000000000010000" => tone0<=960;

when "0000000000100000" => tone0<=853;

when "0000000001000000" => tone0<=759;

when "00000000" => tone0<=716;

when "00000000" => tone0<=358;

when "00000000" => tone0<=319; when "00000" => tone0<=284;

when "0000" => tone0<=268;

when "000" => tone0<=239;

when "000" => tone0<=213;

when "000" => tone0<=190;

when "000" => tone0<=638; when others => tone0<=0; end case;

end process;

end behavioral;

library ieee;

use speaker is

相关文档
最新文档