8位行波进位加减法器课程设计报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

南通大学计算机科学与技术学院计算机组成原理课程设计

报告书

课题名8位行波进位加减法器

班级_____ _____

姓名_____ _________

学号_____ ___

指导教师

日期

目录

设计目的 (1)

设计内容 (1)

设计要求 (1)

设计原理与电路图 (1)

系统调试情况 (3)

设计总结与体会 (4)

参考文献 (5)

1 设计目的

熟练掌握补码加减法运算规则

2 设计内容

设计一个能够完成8位补码加减法运算的ALU

3 设计要求

采用行波(串行)进位的方式,并具备溢出判断功能

4设计原理与电路图(包括总框图、微程序控制器的逻辑图、电路图和封装图)

1位全加器逻辑电路图 1位全加器封装图FA

逻辑表达式:

i i i i C B A S ⊕⊕=

i i i i i i

i i i i i

i i i i i i C B A B A C B A B A C B C A B A C ).(.)(1⊕=⊕+=++=+

n位行波进位的补码加法/加法器原理图

n位行波进位的补码加法/加法器

5系统调试情况

测试1:当m=0时,执行00000001+00000001,结果为00000010,如下图所示:

测试2:当m=1时,执行00001,结果为00000000,如下图所示:

测试3:当m=0时,执行01111111+000000001,结果为0,产生溢出,红灯亮,如下图所示:

测试4:当m=1时,执行0001,结果为1,产生溢出,红灯亮,如图所示:

6 设计总结与体会

本次课程设计,让我学到了很多。首先,我学会了如何去设计一个简单的加法器。其次,在这次的设计中,我也遇到了很多问题,本次设计是设计一个8位的补码加法器,需要考虑加数的正负和溢出情况,所以我找出了数电课本复习了有关知识。在画图方面的也让我知道:做任何小事情都不要粗心,有时候你不注意一些小细节,所得的电路图就是错误的。

7 参考文献

白中英计算机组成原理(第四版立体化教材)[M].北京:科学出版社,2008.

康光华电子技术基础(第五版.数字部分)[M] 北京:高等教育出版社,2006.

相关文档
最新文档