哈工大模电大作业
哈工大2012数字电路大作业题目
数字电路大作业题目说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。
题目1:电子密码锁的设计[设计要求](1)设计一个开锁密码至少为4位数字(或更多)的密码锁。
(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。
(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。
(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。
注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等)题目2:乒乓球比赛模拟机的设计乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。
[设计要求](1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”的移动速度可以调节。
(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。
(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。
(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。
(5)能显示发球次数。
注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等)题目3:液体点滴速度监控装置的设计设计医用点滴速度自动控制装置。
假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。
[设计要求](1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。
哈工大 电工大作业 时钟
数字时钟
一、设计要求
设计一个数字时钟,具有计时和置位功能。
二、设计方案
1、用1HZ的信号发生器作为信号的产生。
2、利用74LS161改进成十进制计数器控制时钟秒钟和分钟的个位。
3、利用74LS161改进成六进制计数器控制时钟秒钟和分钟的十位。
4、利用74LS161改进成六二十四进制计数器控制时钟小时。
5、利用74LS161 Cr 端进行清零设置。
6、利用74LS47驱动七段LED显示器。
7、相关引脚图
三、设计电路
正常工作时j1和j3均接高电平,需要置位时,将j1和j3换挡,每个74LS161置位端(A B C D)接上相应的数据即可
四、设计总结
本次试验在仿真软件上成功运行,设计过程中出现部分错误,经过调试,最终成功调试出所需功能的电路。
此次大作业,加深了我对电路知识的掌握,我进一步了解了几种元件,对部分芯片的使用达到了熟悉的程度。
对它们的应用有了更多的想法。
还有对出现各种问题时的分析处理能力。
为以后设计电路给了我一个启蒙。
今后我会更加努力,在听课的同时更好地利用身边的各种资源,努力在电路方面有更多的进步。
哈工大数电大作业——学号后三位为模的计数器
数字电子技术应用Verilog HDL设计计数器学院:航天学院班级:学号:姓名:教师:设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。
设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。
然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。
程序代码:modulejishuqi(out,reset,clk);output [7:0] out;inputreset,clk;reg [7:0] out;always @(posedgeclk)beginif(!reset)out<=8'h00;else if(out>=113)out=8'h00;else out<=out+1;endendmodule激励源设置程序:`timescale 1 ns/ 1 psmodulejishuqi_test();regclk;reg reset;wire [7:0] out;jishuqi i1 (.clk(clk),.out(out),.reset(reset));initialbegin#1 clk=0;#10 reset=0;#40 reset=1;endalways #20 clk=~clk ;endmoduleModelsim仿真波形图:注二进制数01110001化成十进制数为113,因此得到了正确的波形图。
RTL ViewerTechnology Map Viewer。
哈工大(威海)模电习题册(一)答案
第三章 多级放大电路一.解:(a )共射,共基 (b )共射,共射 (c )共射,共射 (d )共集,共基 (e )共源,共集 (f )共基,共集二.解:(1)R W 的滑动端在中点时A d 的表达式为beWc IOd )2( r R R u u A +-=∆∆=β(2)R W 的滑动端在最右端时I beW c C2C1O IbecC2I beW c C1)2( 2 2)( u r R R u u u u r R u u r R R u ∆⋅+-=∆-∆=∆∆⋅+=∆∆⋅+-=∆βββ所以A d 的表达式为beWc IOd )2( r R R u u A +-=∆∆=β比较结果可知,两种情况下的A d 完全相等;但第二种情况下的C21C u u ∆∆>。
三.解:R W 滑动端在中点时T 1管和T 2管的发射极静态电流分析如下:mA 517.02222e WBEQEE EQEE e EQ WEQ BEQ ≈-==+⋅+R R U V I V R I R I U + A d 和R i 分析如下:Ω≈++=-≈++-=Ω≈++=k 5.20)1(2972)1( k 18.5mV26)1(W be i Wbe cd EQbb'be R r R R r R A I r r ββββ四. 解:电路的共模输入电压u I C 、差模输入电压u I d 、差模放大倍数A d 和动态电压△u O 分别为V67.0672 mV 10mV 152Id d O becd I2I1Id I2I1IC -≈=∆-≈-==-==+=u A u r R A u u u u u u β由于电路的共模放大倍数为零,故△u O 仅由差模输入电压和差模放大倍数决定。
第五章 放大电路的频率响应一.解:(1)1be b s )(π21C r R R ∥+ 。
①;①。
(2)'s b bb'e b')]([21ππC R R r r ∥∥+ ;①;①,①,③。
哈工大电大数字电子技术基础大作业
哈工大电大数字电子技术基础大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业
课程名称:数字电子技术基础
设计题目:血型与状态机
院系:
班级:
设计者:
学号:
哈尔滨工业大学
血型逻辑电路设计
一实验目的
1.掌握采用可编程逻辑器件实现数字电路与系统
的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件
的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方
法。
二设计要求
1.采用BASYS2开发板开关,LED,数码管等制作验
证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设
计到BASYS2开发板。
三电路图
1.电路模块图(简化)
应用:
2.内部电路组成(简化)。
哈工大模电大作业
解决方法:检查计算过程,确保每个步骤都正确无误,可以使用计 算器辅助计算
解决方法:按照实验指导书要求进行操作,注意安全,遵循实验步 骤
解决方法:参考教师提供的模版,按照要求撰写报告,注意排版和 格式
提高效率和准确性的技巧
制定详细计划,合理分配时间 熟练掌握基础知识,避免因基础知识不扎实导致错误 仔细审题,明确题目要求和注意事项 多做练习,提高解题能力和技巧
完成作业是学生对自己学习成果 的检验和提高,也是对教师教学 质量的反馈。
作业要求和时间安排
作业要求:根据教学大纲,完成模电大作业,包括理论分析和实验操作两部分
时间安排:作业布置时间为课程结业前一周,完成时间为结业前三天
作业内容
02
作业题目和任务
题目:哈工大模电大作业
任务:完成模电实验报告, 包括实验原理、实验步骤、 数据记录与分析等内容
作业成果评价
04
评价标准和方式
评价标准:作业 完成度、技术难 度、创新性、实 用性
评价方式:教师 评分、同学互评、 自我评价
评价过程:制定 评价标准、作业 提交、评价结果 反馈
评价结果应用: 作为课程成绩的 依据,促进教学 改进
作业成果展示和交流
学生在课堂上进行作业成果 的讲解和交流,提高表达能 力
对未来学习和发展的建议和展望
深入学习模电知识,掌握更多实际应用技能 拓展学习领域,了解相关学科的前沿动态 加强实践操作能力,提高实验技能水平 积极参与学术交流和项目合作,拓宽视野和思路
YOUR LOGO
THANK YOU
汇报人:XX
汇报时间:20XX/01/01
YOUR LOGO
哈工大cmos模拟集成电路大作业
东线高速公路陵水立交改建工程圆管涵工程施工一、工程概况东线高速公路K190+899。
103陵水立交位于陵水县城西侧、在建的东环铁路陵水车站东侧,北距香水湾和南距文罗互通立交的距离分别为8。
3km和7。
8km.被交道路为国道海榆东线,高速公路上跨。
陵水立交现状为简易型立交,变速车道、匝道技术指标低,不满足现行规范要求,本项目的实施是针对该情况将现状陵水立交改建为半苜蓿叶式互通立交。
二、沿线地形、地质及水文自然地理特征1、地质水文:互通区地貌单元属海成Ⅳ级阶地,微地貌单元进一步划分为坡地和地沟.地下水主要接受大气降水及地下水侧向补给,总体向下游排泄。
勘察期间实测混合地下水位埋深为0。
00-2.5m,根据区域水文地质资料及附近水井调查,地下水位年变幅在0。
5—1。
0m。
本次勘察揭露的地层,表层为第四系全新统冲击土及第四系中更新统北海组海相沉积土,下伏晚白垩纪花岗闪长岩及其残积土。
2、气象:项目所在地属热带季风岛屿性气候,气候温和,雨量充沛,年平均气温24℃,夏季长达9个月,春秋不分,长3个月,无冬天。
夏季高温高湿,台风活动频繁,降水充沛,12-2月为春秋季,降水稀少,全年无霜日,日照充足,太阳辐射强.三、施工准备:施工前应先根据设计要求,对圆管涵进行定位放线,放出圆管涵具体位置,合理安排平面布置,应充分考虑施工期间的车辆通行情况和管线的施工方向之间的互相干扰。
根据规范要求,在充分了解工程的实际情况和选择合理经济的沟槽横断面。
并充分考虑冬季和雨期的施工措施,编制施工组织设计报监理审批,着重以下几点:(1)挖、填方的土方平衡计算,做出合理安排,减少重复运输。
(2)进行施工现场的排水设计,并考虑排水和周边构筑物的影响。
(3)考虑具体投入的设备、数量、品种、人员等。
(4)土方开挖后弃土的堆放、运输以及可利用土的临时堆放点的布置等.四、钢筋砼圆管涵施工管涵施工完毕后,砌体砂浆或砼强度达到规定要求时方可进行回填,涵洞顶以上及涵身两侧在不小于两倍孔径范围内的填土须分层对称夯实,相对密度达到96%,回填材料严格按设计要求,每层厚度不超过15cm。
哈工大模电大作业2
一、实验内容F μ的电容器,阻值尽量接近实际计算值。
电路设计完后,画出频率响应曲线,并采用Multisim 进展仿真分析。
二、原理分析给定电容值0.01uF ,计算得:43R R = = 10ΩK ,选取1R = 2R = 39ΩK 按照滤波器的工作频带,滤波器可分为低通滤波器〔LPF 〕、高通滤波器〔HPF 〕、带通滤波器〔BPF 〕、带阻滤波器〔BEF 〕几种。
按滤波器传递函数的极点数又分为一阶滤波器、二阶滤波器等。
如果滤波器仅由无源元件〔电阻、电容和电感〕组成,那么称之为无源滤波器;假设滤波器含有有源元件〔晶体管、集成运放等〕,那么称之为有源滤波器。
由阻容元件和运算放大器组成的滤波电路称为RC 有源滤波器。
由于集成运放有带宽的限制,目前RC 有源滤波器的工作频率比拟低,一般不超过1MHz 。
1、 有源低通滤波器〔LPF 〕低通滤波器允许输入信号中低于截止频率的低频或直流分量通过,抑制高频分量。
有源低通滤波器是以RC 无源低通滤波器为根底,与集成运放连接而成。
2、 二阶压控型低通滤波器二阶压控型有源低通滤波器如下列图所示。
图 1. 二阶压控型低通滤波器原理图因为电容器C1的接地端改为接运放输出端,引入了正反应,由于在通带内电容器视为“开路〞,因此C1的改接不影响滤波器的通带电压放大倍数,即11up RfA R =+。
为简化计算,令23,12R R R C C C ====,根据“虚短〞和“虚断〞特征及叠加定理可解得传递函数:2()()1(3)(sCR)up o us I up A u s A u s A sCR ==+-+ 令s j ω=,得滤波器的频率响应表达式:21()(3)upu up o oA A f f j A f f =-+-式中12o f RCπ=,令21()(3)H H up o o f f j A f f -+-=解得该滤波器的上限截止频率为 1.272H o o f f f =≈ 定义有源低通滤波器的品质因数Q 为o f f =时电压放大倍数的模与通带电压放大倍数之比,即13upQ A =- 实际应用,Q 的调节范围0100Q ≤≤,一般选取1Q =附近的值。
哈工大电工大作业-专题报告-太阳能光伏发电
太阳能光伏发电摘要:当前太阳能光伏发电正在迅速发展,尽管其目前价格仍较贵,初始投资高,但太阳能作为一种可持续利用的清洁能源,应用的规模和范围在不断扩大。
本文将从太阳能光伏发电的原理、特点及应用等方面介绍太阳能光伏发电的相关内容。
关键词:太阳能光伏发电光伏系统一、太阳能光伏发电的原理太阳能光伏发电是利用“光生伏打效应”(简称“光伏效应”)通过太阳能电池将光能直接转化为电能。
“光伏效应”是指在光照条件下半导体材料的不同部位之间会产生电位差。
这一现象最早是由法国科学家贝克雷尔于1839年发现的,在1954年,美国科学家恰宾和皮尔松才首次研制成了实用的单晶硅太阳电池,标志着光伏发电技术的正式诞生。
太阳能电池工作原理的基础是半导体PN结的光生伏打效应,就是当物体受到光照射时,物体内的电荷分布状态发生变化而产生电动势和电流的一种效应。
当太阳光或其他光照射半导体的PN结时,就会在PN结的两侧出现电压,叫做光生电压,使PN结短路,就会产生电流。
图2-1太阳能光伏发电模拟图图2-2光伏效应原理图二、太阳能光伏发电的特点太阳能光伏发电的过程没有机械转动,其部件也不消耗燃料,并且不排放包括温室气体在内的任何物质,因此利用太阳能光伏发电得到的电能是一种可持续、可再生的理想的清洁能源。
它的优点主要有以下几点:1、太阳能资源取之不尽,用之不竭。
目前,照射到地球上的太阳能要比人类消耗的能量大6000倍。
太阳能发电安全可靠,不会遭受能源危机或燃料市场不稳定的冲击。
2、太阳能资源在地球上分布广泛,随处可得,不受地域、海拔等因素的限制。
只要有光照的地方就可以使用光伏发电系统,可以就近供电,不必长距离输送,避免了长距离输电所造成的线路上的电能损失,同时也节省了输电成本。
3、太阳能光伏发电具有很高的理论发电效率。
由于太阳能光伏发电的能量转换过程简单,是直接从光子到电子的转换,没有中间过程(如热能转换为机械能,机械能转换为电磁能等)和机械运动,不存在机械磨损。
哈工大热电材料大作业
海洋可再生能源开发利用与技术进展海洋能是一种蕴藏在海洋中的可再生能源,包括潮汐能、波浪引起的机械能和热能。
海洋能同时也涉及一个更广的范畴,包括海面上空的风能、海水表面的太阳能和海里的生物质能[1]。
中国拥有18,000公里的海岸线和总面积达6,700平方公里的6,960座岛屿。
这些岛屿大多远离陆地,因而缺少能源供应。
因此要实现我国海岸和海岛经济的可持续发展,必须大力发展我国的海洋能资源。
海洋能来源于太阳辐射能与天体间的万有引力,只要太阳、月球等天体与地球共存,这种能源就会再生,就会取之不尽,用之不竭。
海洋能指依附在海水中的可再生能源,海洋通过各种物理过程接收、储存和散发能量,这些能量以潮汐、波浪、温度差、盐度梯度、海流等形式存在于海洋之中。
地球表面积约为5.1×108km2,其中陆地表面积为1.49×108km2占29%;海洋面积3.61×108km2,以海平面计,全部陆地的平均海拔约为840m,而海洋的平均深度却为380m,整个海水的容积多达1.37×109km3。
一望无际的大海,不仅为人类提供航运、水源和丰富的矿藏,而且还蕴藏着巨大的能量,它将太阳能以及派生的风能等以热能、机械能等形式蓄在海水里,不像在陆地和空中那样容易散失。
图一海洋能发电图二发电装置详解海水温差能是一种热能。
低纬度的海面水温较高,与深层水形成温度差,可产生热交换。
其能量与温差的大小和热交换水量成正比。
潮汐能、潮流能、海流能、波浪能都是机械能。
潮汐的能量与潮差大小和潮量成正比。
波浪的能量与波高的平方和波动水域面积成正比。
在河口水域还存在海水盐差能(又称海水化学能),入海径流的淡水与海洋盐水间有盐度差,若隔以半透膜,淡水向海水一侧渗透,可产生渗透压力,其能量与压力差和渗透能量成正比。
在我国,海洋能开发利用意义重大,中国拥有长达1. 8万km的大陆海岸线和1. 4万km的岛屿海岸线,1万多个大小不同的海岛和岛礁,海岛缺电现象严重。
哈工大cmos模拟集成电路大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y模拟C M O S集成电路大作业设计题目:二级运放设计院系:班级:设计者:学号:设计时间:2011.6.20哈尔滨工业大学2012年设计题:假定μn C ox=110 μA/V2,μp C ox=50 μA/V2,λn=0.04V-1,λp=0.04V-1(有效沟道长度为1μm时),λn=0.02V-1,λp=0.02V-1(有效沟道长度为2μm时),λn=0.01V-1,λp=0.01V-1(有效沟道长度为4μm时),γ=0.2,V THN=| V THP | =0.7V。
设计如下图的放大器,满足如下要求,其中负载电容C L= 10pF。
Av > 4000V/V,VDD = 5V,GB = 5MHz ,SR > 10V/µs ,60°相位裕度,Vout 摆幅=0.5~4.5V, ICMR 1.5~4.5V,Pdiss≤ 2mW1.请说明详细的设计过程,包括公式表达式(假定C ox = 0.35fF/µm2,栅源电容按计算);2.给出进行交流仿真和瞬态仿真的spice仿真的网表,并给出仿真波形和结果。
3.如果要求Av至少提高为原来的2倍,其它要求不变,如何修改电路(注意讨论对其它性能参数的影响)?注意事项:1.计算得到的极点频率为角频率。
2.尺寸最后应选取整数,工艺精度的限制。
3.尾电流增加,Av增加还是减小?1.根据相位裕度PM=60deg的要求,求C c(假定ωz>10GB);考虑零点的影响,CC的选取:PM=60°时,GB处︒-︒=⎪⎪⎭⎫ ⎝⎛+⎪⎪⎭⎫ ⎝⎛+⎪⎪⎭⎫ ⎝⎛60180c c c 21z p p GB tg ar GB tg ar GB tg ar ωωω令ωz =10GB 时()︒-︒=+⎪⎪⎭⎫⎝⎛+︒601801.0c c 902tg ar GB tg ar p ω若PM>60 °, ωp2>2.2GB ,并由ωz =10GB2.210mII mII L C g g C C >⨯由此可得:LC C C 22.0>负载电容C L =10pF,所以C c >2.2pF,取C c =3pF2.由已知的Cc 并根据转换速率的要求(或功耗要求)选择ISS (I5)的范围;A I A I s V S C I S r Cr μμμ40,30,/10555=>>=取可得,由3.由计算得到的电流偏置值(I5 /2),设计W3/L3( W4/L4 )满足上ICMR (或输出摆幅)要求,即饱和区条件;极限情况下,即ICMR 达最大4.5V 时,M3,M4管的过驱动电压为:3,4OD DD THn THpV V ICMR V V +=-+-由此可得,M3,M4管的漏电流:2253(4)3,411/2()2022p ox OD p ox DD THn THp W WI I C V C V ICMR V V A L L μμμ+===-+-=代入μp C ox =50 μA/V 2,VDD = 5V ,ICMR +=4.5V ,I 5=40μA ,V THN =| V THP | =0.7V 可得:3,43,4() 3.2()=4W WL L =,此时取4. 验证M3处镜像极点是否大于10GBGBC gC C g gs m gs gs m 10233433>=+验证F101.5008100.354440.6767.0-14-15333⨯=⨯⨯⨯⨯⨯==ox gs C L W CV A I L WC g oxp m /1044.891020410502266633---⨯=⨯⨯⨯⨯⨯=⋅=μ代入验证成立5. 设计W1/L1( W2/L2 )满足GB 的要求1/m cGB g C =6121110521032⨯⨯⨯⨯=⋅=⋅=-πμGB C I L WC g c oxn m由此解得:1,21,2()=2.01()=3W WL L ,此时取6. 设计W5/L5满足下ICMR (或输出摆幅)要求; 当ICMR 取最小值1.5V 时,M5管的过驱动电压为:-6151-61222010=1.5-0.7=0.45V (/)110103OD GS TH n ox I V ICMR V ICMR V C W L μ--⎛⎫⎛⎫⨯⨯=-=-++ ⎪ ⎪ ⎪ ⎪⨯⨯⎝⎭⎝⎭255)(21OD ox n V L W C I μ=4)(3.59)(55==L WL W ,取由此可得, 7. 根据ωp2>2.2GB 计算得到gm6;并且根据偏置条件VSG4=VSG6计算得到M6的尺寸6222GB=; 2.2C m m p p L Cg gGB C ωω=>由,且得: 62622.2/,=2.2/m m L c m m L cg g C C g g C C >令2266 2.2()()()()n ox GS THN L p ox GS THP cWC V V C WL C V V LC μμ--=所以2224421412;()()2422p ox GS THP n ox GS THN W W I I C V V C V V L L μμ=-=-根据电路结构得:即 64642(2/2),()(4/4)n GS GS GS THP GS THP GS THN p W L V V V V V V V V W L μμ=-=-=-又因为所以6666(W2/L2)(W4/L4)2.2 2.21011034:==37.68=38350n LC p W WC L C L μμ⨯⨯⨯=联立可得,取8. 根据尺寸和g m6计算I 6,并验证V out,max 是否满足要求666444/3820190/4W L I I AW L μ==⨯=66666221901060.45(/)501038OD p ox I M V V C W L μ--⨯⨯===⨯⨯管的过驱动电压:6,max 50.45 4.55,DD OD out V V V V -=-=>满足要求9. 计算M7的尺寸。
哈工大光电技术基础及应用大作业
《光电技术基础及应用》大作业(2015年春季学期)题目激光测距原理及军事应用姓名崔晓蒙学号1110811005班级1108110班专业机械设计制造及其自动化报告提交日期2015年4 月23 日哈尔滨工业大学大作业要求1.请根据课堂布置的4道大作业题,任选其一,题目自拟,拒绝雷同和抄袭;2.大作业最好包含自己的心得、体会或意见、建议等;3.大作业统一用该模板撰写,字数不少于5000字,上限不限;4.正文格式:小四号字体,行距为1.25倍行距;5.图表规范,参考文献不少于8篇;6.用A4纸单面打印;左侧装订,1枚钉;7.大作业需同时提交打印稿和2003word电子文档予以存档,电子文档由班长收齐,统一发送至:j_jyq@;8.此页不得删除。
评语:成绩(20分):教师签名:2015年5 月25 日《激光测距原理及军事应用》摘要:本文简要介绍了脉冲激光测距原理及常见的激光测距光源,并对它们在军事上的应用作了相应的介绍。
关键词:激光测距,激光光源,军事应用1.概述1960年一种神奇的光诞生了,它就是激光。
激光的英文名称是 Laser,取自英文Light Amplification by Stimulated Emission of Radiation的各单词的头一个字母组成的缩写词。
意思是“受激辐射的光放大”。
由于激光在亮度、方向性、单色性以及相干性等方面都有不俗的特点,它一出现就吸引了众多科学工作者的目光,并被迅速地被应用在工业生产方面、国防军工方面、房地产业、各级科研机构、工程、防盗安全等各个行业各个领域:激光焊接、激光切割、激光打孔(包括斜孔、异孔、膏药打孔、水松纸打孔、钢板打孔、包装印刷打孔等)、激光淬火、激光热处理、激光打标、玻璃内雕、激光微调、激光光刻、激光制膜、激光薄膜加工、激光封装、激光修复电路、激光布线技术、激光清洗等。
有关于激光的研究与生产制造也如火如荼地开展了起来。
激光与普通光源所发出的光相比,有显著的区别,形成差别的主要原因在于激光是利用受激辐射原理和激光腔滤波效应。
哈工大模电大作业1
模电大作业(一)设计题目由AD590组成的测温电路院系电气工程及其自动化班级设计者学号指导老师设计时间由AD590组成的测温电路【摘要】:AD590是AD公司利用PN结正向电路与温度的关系制成的电流输出型两端温度传感器。
由于该器件具有良好的线性特性和互换性,因此测量精度高并具有消除电流波动的特性。
本文根据电流电压转换原理采用AD590设计了一个简单的温度测量电路。
【关键词】:AD590,测温,电流电压转换电路1.任务:利用AD590设计一个温度测量电路。
2.设计要求:(1)测量温度范围在0-30℃,输出电压上限5V。
(2)电阻选用标准值。
(3)说明所选运放型号,管脚图。
(4)AD590管脚图。
(5)对部分或全部电路进行仿真。
1.理论分析(1)AD590简介集成温度传感器AD590是一种电流型二端元件,有“+”“-”两个有效引脚,给这两个引脚提供电压后,其通过的电路与AD590的温度成正比,AD590管脚图如图1所示,最后一个引脚为传感器外壳,可悬空或者接地(气屏蔽作用)。
AD590的基本参数(1)测温范围- 55℃~+l50℃;(2)线性电流输出lμA/ K;(3)线性度好,满刻度范围为±0 .3℃;(4)电源电压范围4 -30 V,当电源电压在5 ~10V之间,电压稳定度为l %时,所产生的误差只有±0.01℃;(5)电阻采用激光修刻工艺,使在+25℃(298.2K)时,器件输出298.2μA;(6)功率损耗低。
由参数知,电流I与温度成线性关系,温度每增加1℃,电流I随之增加1µA。
在制造时按照热力学温度标定,即在0℃时,AD590的电流为I=273µA。
电流I(µA)与温度t(℃)的关系可用函数(数值关系)表示为I=273+t。
(2)测温电路设计与参数选择为了将AD590输出的电流信号转化为电压信号,应与AD590串联10K Ω的电阻,则在0℃时电阻上的压降为2.73V ,温度每增加1℃,电阻上的压降增加10mV ,为了使温度为0℃是的输出电压为0V ,应加入偏移量,来抵消此时的AD590输出。
哈工大模电课程论文大作业
模拟电子技术课程论文题目:集成运放放大器测试仪专业: XXXXXXXXXXXXXXXX学号:XXXXXXXXXX姓名:XXXXXX集成运放放大器测试仪电气工程及自动化学院 XXX摘要:集成运算放大器简易测试仪是一种对集成运算放大器性能好坏评判的设备。
对集成运算放大器电压增益倍数有直观的体现,从而判断集成运算放大器的性能优劣。
本文设计采用正弦波信号发生器产生输入信号,中间级由运算放大器放大信号,在检测中,同时使用双踪示波器在输出端观测输出信号幅值,以及使用毫伏表电路分别将输入输出信号的电压值转变成电流值进行比较这两种比较方法,使得检测电路的可靠性增强。
关键词:集成运算放大器;信号;性能测试;可靠性测试引言:集成运算放大器(Integrated Operational Amplifier),是由多级直接耦合放大电路组成的高增益模拟集成电路。
它的增益高,输入电阻大,输出电阻低,共模抑制比高,失调与飘移小,而且还具有输入电压为零时输出电压亦为零的特点,适用于正,负两种极性信号的输入和输出。
目前运算放大器广泛应用于家电,工业以及科学仪器领域。
因此,对运放性能的检测成为了运放生产的重要内容之一。
本文将对集成运放放大器测试仪进行设计分析。
一、设计要求1.1集成运算放大器简易测试仪的设计要求本次设计主要是综合应用所学知识,设计集成运算放大器简易测试仪,并在实践的基本技能方面进行一次系统的训练。
能够较全面地巩固和应用模拟电子电路课程中所学的基本理论和基本方法,并初步掌握简单模拟电路设计的基本方法。
应用场合: 集成运算放大器简易测试仪主要适用于运算放大器制造厂商对所生产运算放大器性能的检测。
系统功能介绍:集成运算放大器简易测试仪可以直观的、方便的看出运算放大器的电压增益情况,从而判断运算放大器性能的好坏。
二、方案论证2.1集成运算放大器简易测试仪设计方案论证设计测试集成运放的好坏,本实验的思路是将该被测的集成运放接成电压跟随器,在输入端接入标准的正弦信号,将双踪示波器的CH1端口接在输入端,CH2口接在输出端,观察两端口所产生的波形幅值大小变化,若CH2口波形幅值明显大于CH1口波形幅值,则表示运放正常,否则,损坏。
哈工大数电大作业 作业2-状态机
数电大作业2——状态机1基本要求1)编写相关源程序;2)给出相关仿真电路图/状态转换图和仿真波形图.2设计任务利用Verilog HDL设计一个电路,对输入的一串二进制数,用于检测序列中连续3个或者3个以上的1,状态转换如图所示。
图 1要求其转换状态表如图(2)所示。
图 23.题目分析根据PPT中给出的状态转换表,我们可知该状态机共有四种状,state0=2'b00,state1=2'b01,state2=2'b10,state3=2'b11。
每种状态之间的转换关系如一中转换图所示。
在default中我们把状态归为state0。
我们采用Mealy型状态机。
4.设计步骤1.安装Quartus II软件并破解。
2.根据设计要求编写程序代码。
3.生成仿真电路图和波形如图。
5.程序代码1.状态机的源程序module FSM(clk,clr,out,in);input clk,clr,in;output out;reg out;reg[1:0] state,next_state;parameter state0=2'b00,state1=2'b01, //四种状态 state2=2'b10,state3=2'b11;always @(posedge clk )beginif (!clr) state <= state0;else state <= next_state;endalways @(state or in)begincase (state)state0: begin //状态0,AB为00 if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state1;out=0;endendstate1: begin //状态1,AB为01 if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state2;out=0;endendstate2: begin //状态2,AB为10if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state3;out=0;endendstate3: begin //状态3,AB为11 if (in==0)beginnext_state=state0;out=1;endelsebeginnext_state=state3;out=1;endenddefault:beginnext_state =state0; out=0;endendcaseendendmodule6.仿真电路图和波形图RTL电路Technology Schematic形式的电路仿真波形:仿真激励测试源程序`timescale 1 ns / 1 nsmodule fsmsim;parameter DELAY=200;reg clk_50;reg clr;reg in;FSM u1(clk_50,clr,out,in);initialbeginclr=0;#DELAY clr=1;endinitialbeginclk_50=0;forever #10 clk_50=!clk_50; endinitialbeginin=0;forever #100 in=!in;endendmodule从仿真波形可以看出,在三个clk脉冲周期内,始终输入in都为高电平,那么out信号为1。
哈工大电工学大作业
电工大作业——运料小车的电气控制 作者:*** 班号:**** 学号:*****设计课题:运料小车的电气化控制设计背景:1.有一运料小车如右图示,动作过程要求如下:小车可在A 、B 两地分别启动。
A 地启动后,小车先返回限位开关ST1处,停车30s 装料;然后自动驶向B 地,到达限位开关ST2处停车,底门电磁铁动作,卸料30s ;然后返回A 地,停车30s 装料,如此往复。
控制要求:(1)手动操作:能手动控制小车向前运行,向后运行,并能打开小车底门。
(2)连续往返自动控制:当小车启动后,能够自动往返运行。
(3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。
再次启动后,小车重复(2)中内容。
设计要求:1.设计该机床的电气控制主电路;2.设计该机床的控制电路;控制电路采用继电接触器控制或可编程控制器实现均可。
3.查阅相关资料,编写详细的电气系统设计说明书,注意规范。
实验器材:熔断器:FU按钮:SB0,SB1,SB2,SB3,SB4,SB5时间继电器:KT1,KT2接触器:KM1,KM2,KM3,KM4行程开关:ST1,ST2电磁铁:KM5说明书:自动操作:按下按钮SB1,KM2通电,并形成自锁。
小车返回行程开关ST1处,此时ST1的常开触点闭合,时间继电器KT2通电,30s 后KT2的延时闭合触点闭合(小车在这时间内装料),KM1通电,小车向ST2处运动。
当运动到ST2后,ST2的常开触点被压合,时间继电器KT1通电,此时,电磁铁KM5通电,底门打开,开始卸料,30s 后KT1的延时闭合触点闭合,小车向ST1运动,如此往复。
按下按钮SB0,机器停止。
手动操作:按下按钮SB2,小车返回ST1处。
按下按钮SB4,小车向ST2运动。
按下按钮SB5,底门打开,开始卸料。
按下按钮SB0,机器停止。
附加:KM1与KM3,KM2与KM4的功能是一样的。
只是一个是自动控制,一个是手动控制。
限位开关 限位开关控制电路:控制电路:请老师点评!如果您认为不可行,请指点一下。
哈工大数电大作业1
一.实验题目1.实验要求:用三个变量设计一个组合逻辑电路,并仿真。
2.实验题目设计:设计三人投票系统。
现有老师A、学生B、学生C,他们对于一个科技创新项目是否通过中期检查进行举手表决。
老师一票算1.5分,学生一票算1分。
该项目若在2分以上,通过中期检查;刚好2分,没有通过但可以延期检查;低于2分终止项目。
用Silinx的BASY2软件设计原理图并在开发板上进行实验。
二.题目分析1.对已知实际问题进行逻辑抽象,确定输入、输出变量。
输入变量:老师A、学生B、学生C。
投票为“1”,不投票为“0”。
输出变量:项目通过情况P。
项目延期检查Q。
项目终止R。
若项目通过,P=1;若项目不通过,P=0。
若延期,Q=1;若不延期,Q=0。
若终止项目,R=1,若不终止项目,R=0。
2.列出真值表。
A B C P Q R0 0 0 0 0 10 0 1 0 0 10 1 0 0 0 10 1 1 0 1 01 0 0 0 0 11 0 1 1 0 01 1 0 1 0 01 1 1 1 0 0表1 真值表3.写出逻辑表达式P=AC+ABQ=ABC+++++R=B C A B A C4.在xilinx 13.4上画出原理图图1 原理图三.电路仿真原理图翻译过来的硬件描述语言如下:// Inputsreg A;reg B;reg C;// Outputwire P;wire Q;wire R;// Bidirs// Instantiate the UUTsanrenjushoubiaojue UUT (.A(A),.B(B),.C(C),.P(P),.Q(Q),.R(R));// Initialize Inputs// `ifdef auto_initinitial beginA = 0;B = 0;C = 0;#100;A = 0;B = 0;C = 1;#100;A = 0;B = 1;C = 0;#100;A = 0;B = 1;C = 1;#100;A = 1;B = 0;C = 0;#100;A = 1;B = 0;C = 1;#100;A = 1;B = 1;C = 0;#100;A = 1;B = 1;C = 1;#100;//`endifendendmodule在软件下仿真的结果如下图:图2 仿真图通过仿真可知,电路原理图设计无误。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
H a r b i n I n s t i t u t e o f T e c h n o l o g y
模电课程大作业(一)
设计题目:基于集成运算放大器的运算电路设计院系:电气工程及自动化学院
班级:
设计者:
学号:
设计时间:2014年春季学期
哈尔滨工业大学
2014年
设计内容
用集成运算放大器实现下列运算关系
⎰-+=dt u u u u i i i o 321532
要求所用的运放不多于三个,画电路图,元件要取标称值,取值范围为
Ω≤≤ΩM R k 11 F C F μμ101.0≤≤
原理分析
1、用比例运算电路实现1U 2U 运算
计算得:1R = 30ΩK ,3R = 15ΩK ,8R = 10ΩK ,7R = 5.1ΩK 此时,得到的1
O U = 2132U U --,因此,在1A 后加一反向比例电路,放
大倍数为-1。
2、用积分电路实现3U 运算
计算得:5R = 100ΩK ,10R = 10ΩK ,1C = 1uF
此时,得到2
O U = ⎰-dt 103U ,因此,1A 后需加一放大倍数为0.5的同
相比例电路。
设计电路图如下:
3K Ω
12K
Ω3K Ω2K Ω
2K Ω
20K Ω
20K Ω
20K Ω
1uF
200K Ω
100K Ω
A1
+
-A2
+
--+
A3
u I1u I3
u o ++
--
-
++20K Ω
1K Ω
u I2u o1
u o2
由电路图知,A1所在单元电路是反相输入求和电路,所以可得:
112121212(
)(23)3322o I I I I K K u u u u u K K K K ΩΩ
=-+=-+Ω+ΩΩ+Ω
A2所在单元电路是反相积分运算电路,且在初态时(0)0C u =,所以可得: 2330
1
()052001t
t
o I I u t u u dt K uF
=-
+=-Ω⨯⎰
⎰
A3所在单元电路为差分比例运算电路,所以可得:
21213121230010()5[(23)]23510t t o o o o o I I I I I I K u u u u u u dt u u u u u dt K Ω
=-=-=---+=+-Ω
⎰⎰ 则设计满足题设要求。
用Multisim进行仿真分析1、1U单独作用时,结果如下:
2、
U单独作用时,结果如下:2
3、
U单独作用时,结果如下:3
经仿真得:实验设计在误差许可范围内是正确的,满足
⎰-+=dt u u u u i i i o 321532
四、作业心得
虽然做大作业的时候有大量的网上版本和同学版本,但是我依然能够大部分独立完成,只有小部分参考了资料,我觉得设计实践能力得到了提升。
通过本次作业的练习,进一步熟悉了运算放大器的应用,并且能够较熟悉地Mutisim 进行放真实验,理论上,输出电压:
123235o i i i u u u u dt =+-⎰实验中,最终输出的结果o u 是1I u 的二倍与2I u 的三
倍并与3I u 积分(三角波)的结果求和的波形,所以与理论上的结果一致。
五、参考文献
[1] 王淑娟,蔡惟铮,齐明.模拟电子技术基础[M]. 北京:高等教育
出版社,2009.。