Debussy使用指南(上)2006.03.02

合集下载

SubEclipse入门学习指南

SubEclipse入门学习指南

SubEclipse入门学习指南(转自)前言我使用subclipse过程中出现了很多问题,查找google之后,发现网上根本就没有对Subclipse插件进行很详细的讲解,有的也只是提供简单的安装和使用教程,或者也是一些英文教程拿来整我们这些不愿意老看E文的人,所以我决定把Subclipse的相关文档中文化,以帮助那些不愿意看因为英文文档的朋友和同仁,当然还有自己备查。

错误和不足之处望多多指正。

下面是相关软件的版本:TortoiseSVN Subversion及以上(在线安装地址: Subversion Client Adapter 及以上Subversion Native Library Adapter (JavaHL) 及以上一、入门章节将告诉您关于SVN插件的基本操作,诸如...•创建一个版本控制仓库•连接到一个仓库地址•把新项目导入到仓库•从仓库中导出一个项目到你的工作区二、每日作业部分主要致力于维护日常的工作周期:•编辑•更新•提交作业•同步仓库(使用Synchronize视图)三、参考部分将详细讲解插件中的每个功能。

四、FAQ部分将对一些常见问题提供快速解答。

不管怎样您是新手,还是熟练使用SVN的熟手,在使用该插件操作SVN,再对照基于命令行方式的操作SVN,您会发现这可能是您学习和使用SVN的最佳起点。

入门主要内容•创建一个存放位置•把一个新项目导入到存储库•连接一个存在SVN的项目•检出项目创建一个存放位置概述毋庸置疑,在您开始使用一个SVN存储库工作之前,您必须在Eclipse IDE中定义这个存放位置。

步骤一般是通过SVN Repository视图中创建和运用您的存储库地址,它属于SVN Repository Exploring Perspective(SVN存储库扫描透视图)的一部分。

当你需要创建一个新的存储库地址时,点击Add SVN Repository按钮或者在右击透视图,选择New > Repository Location...添加SVN存储库对话框会提示您的存放位置的URL 。

Debussy软件教程

Debussy软件教程
debussy_debug:process begin fsdbDumpfile("**.fsdb"); fsdbDumpvars(0,"system"); wait; end process debussy_debug; fsdbdumpfile("t1.fsdb");--此处的文件名可以随便取。

Debussy的输入为:Verilog/VHDL或混合语言 源代码,仿真波形文件,SDF 支持的波形格式:vcd,fsdb(fast signal database,比vcd文件小5-50倍),模拟波形文 件(PowerMill,TimeMill,Avant! StarSim,SmartSpice) 支持的仿真器:Verilog-XL,NC-verilog,NCVHDL,Leapfrog,Modelsim,VCS,Ploaris

1. Modelsim阶段
VHDL通过ModelSim产生FSDB文件
b、将Debussy安装目录下的\share\PLI\modelsim_fli54\WINNT下的 novas.vhd拷贝至工程所在目录,打开modelsim,在工程所在目录新建一个 novas的LIB,然后编译novas.vhd文件。(对于这一步,前期准备工作的时 候就像提取Altera的库一样,将该库文件提取到ModelSim里,生成一个可以 重复使用的novas库,这样就可以一劳永逸不要每个工程都要编译一次这个 库)。 c、在testbentch中增加library novas;use novas.pkg.all;并在testbentch 中添加:
Active Annotation

Debussy功能
Debussy直接编译Verilog\VHDL源代码, 并且采用了预综合技术识别电路的单元,可 以生成原理图。在导入仿真结果后,可以用 Active Annotation在源代码、原理图、状 态图上动态显示变量的仿真值

debussy使用指南

debussy使用指南

Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
Debussy功能及包含软件模块
Debussy功能
Debussy直接编译Verilog\VHDL源代码,并且采用了预综合技术 识别电路的单元,可以生成原理图。在导入仿真结果后,可以用 Active Annotation在源代码、原理图、状态图上动态显示变量的 仿真值
nWave 的使用 (Cont.)
4. 選擇欲觀察的signal,以中鍵按住拖曳到右邊的視窗, 如圖所示。
い龄╈Σ:
娩跌怠
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
nWave 的使用 (Cont.)
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
nTrace 的使用 (Cont.)
¤ Â_
ÂI ªº
²Å
¸¹
¼Ð°O
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
nSchematic 的使用
1. 在 Import Design 完成之後,進入 Interactive Mode。 2. 按 New Schematic icon ,會出現程式相對應的電路 圖。

梦擎导航系统用户手册

梦擎导航系统用户手册

Astrob 4.0 导航软件用户手册V 1.1上海梦擎保留在不预先通知的情况下随时修订或更新本文档的权利。

用户手册说明更新,请参见版权声明感谢您使用Astrob4.0导航系统。

在使用此系统之前,请仔细阅读下述版权声明中所列条款。

当您打开包装开始安装使用本系统后,即表示您愿意遵守版权声明中的所有内容。

1. 版权Astrob4.0导航系统包含导航软件与电子地图。

导航软件归上海梦擎信息科技有限公司(Astrob Technology Co., Ltd.)所有,电子地图归易图通科技(北京)有限公司(eMapgo Technologies(Beijing)Co., Ltd)所有, 并受中华人民共和国、国际著作权法保护。

2. 禁止复制本产品含有上海梦擎信息科技有限公司(Astrob Technology Co., Ltd.)的商业机密。

未经授权,任何拷贝及仿冒行为一律严格禁止并视为违法,使用者不得将本系统之软件、电子地图及所附件进行拆解、还原工程、拷贝、转让或用做任何商业用途。

目录1.欢迎使用 (6)1.1安全操作注意事项 (6)1.2导航仪的维护 (7)1.3安装-充电-开机/关机-重新启动 (8)1.4开机主画面功能简介 (11)1.4.1气象信息 (12)1.4.2音乐播放功能 (13)1.4.3图片浏览功能 (16)1.4.4影音播放功能 (17)1.4.5系统设定 (20)1.5A STROB4.0产品规格 (25)1.6简单故障处理 (26)2.A STROB4.0导航系统 (27)2.1首次使用须知 (27)2.2GPS全球卫星定位系统 (28)2.3A STROB4.0功能简介 (29)2.4启动导航系统 (30)2.5主要画面说明 (31)2.5.1自由导航画面 (31)2.5.2主菜单说明 (32)2.5.3路径导航画面 (33)2.5.4丰富的导引地图 (34)2.5.5输入法说明 (35)3.开始导航 (36)3.1查询目的地 (37)3.1.1快捷查询 (37)3.1.2兴趣点查询 (39)3.1.3地址查询 (42)3.1.4历史目的地 (45)3.1.5先前地图 (46)3.1.6附近兴趣点查询 (47)3.1.7电话查询 (49)3.1.8收藏夹 (50)3.2开始导航 (51)4.2导航功能 (54)4.2.1路线信息 (54)4.2.2前方塞车 (55)4.2.3路径重演算 (55)4.2.4日夜地图切换 (55)4.2.5音量&亮度 (56)4.2.6终止导航 (56)4.2.7路径设置 (57)4.3自动重新规划路径 (59)5.网络百宝箱 (60)5.1我的路况 (61)5.2实时路况显示 (61)5.3网络旅游书 (62)5.3车友会 (62)5.5自助导航 (64)6.主菜单其他功能 (65)6.1回家 (65)6.2收藏夹管理 (66)6.3历史目的地管理 (67)6.4个人景点 (68)6.5子菜单 (70)7.系统设置 (72)7.1导航设置 (72)7.2路径设置 (73)7.3地图风格 (73)7.42D/3D (75)7.5行车方向 (75)7.6音量/亮度 (76)7.7日夜切换 (76)7.8车标风格 (76)7.9GPS信息 (77)7.10选择语言 (77)7.11设置家庭住址 (78)7.12TMC设置 (78)7.13恢复默认设置 (78)7.14版本信息 (79)8.2导航语音提示 (81)8.3FA Q (82)8.4A STROB4.0软件、地图升级 (83)1. 欢迎使用使用梦擎导航软件之前,请先详细阅读此手册,并妥善保存手册以作日后参考。

BIO-RAD S1000 PCR仪简易操作指南-宝诚logo

BIO-RAD  S1000 PCR仪简易操作指南-宝诚logo

S1000 PCR仪简易操作指南一.运行程序1.接通仪器电源,打开仪器开关,仪器自检后,显示主菜单。

2.在PCR管中加入样品,把管子放入仪器中,关上盖子,准备开始实验。

3.检查主菜单中状态是否为Block is idle,选择RUN。

然后选择需要运行的程序,点击ENTER确定选择并继续。

注意:当仪器安装了双48反应模块时,有当两个模块均为闲置状态时,状态信息才显示Blocks are idle。

4.选择运行的程序:点击箭头键选择一个文件夹,然后点击向右箭头键选择此文件夹中的文件。

选择MAIN文件夹可选择预设程序。

点击ENTER确定并继续。

注意:运行中的程序是不能进行编辑的。

程序中的改动将在下一次此程序运行时被应用。

5.选择运行模块(双48模块形式)点击箭头键选择BLOCK A或BLOCK B。

点击ENTER继续。

6.输入样品体积输入1-50微升(使用Calculated mode)或输入0微升(使用Block mode)。

6.选择VIEW键预览运行的程序(可选择操作)点击向右箭头键选择VIEW,点击ENTER确定进行程序预览。

点击ENTER向下翻页来预览程序,在程序最后一步再次点击ENTER返回。

7.选择RUN开始运行。

选择RUN,点击ENTER开始运行。

8.程序运行时的监测(可选操作)程序运行开始后,点击SCREEN键可在下面三个屏幕之间切换。

A.运行屏幕:程序开始运行后,在屏幕的最下面一行将显示运行状态。

点击Screen键可显示Running屏幕。

B.图形屏幕:此屏幕可显示每一步的目标温度。

C.Time Remaining屏幕:此屏幕显示到程序结束的剩余时间。

9.浏览Protocol complete屏幕程序结束后,将显示Protocolcomplete屏幕。

浏览此屏幕后,点击ENTER返回主菜单。

10.浏览LAST RUN屏幕(可选操作)返回主菜单后点击SCREEN键可浏览LAST RUN。

Debussy 仿真快速上手教程

Debussy 仿真快速上手教程

Debussy 仿真快速上手教程Debussy 介绍Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。

可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这套软体呢? 其实Debussy v5.0以后的新版本,还提供了nLint -- check coding style & synthesizable,这蛮有用的,可以协助工程师了解如何写好coding style,并养成习惯。

下图所示为整个Debussy 的原理架构,可归纳几个结论:Debussy有四个主要单元(component),nTrace、nWave、nSchema、nStatenTrace -- Hypertext source code analysis and browse tool (为%Debussy &所开启的主画面)nWave -- Waveform analysis tool (可由nTrace内开启,或直接%nWave &开启)nSchema -- Hierarchy schematic generatornState -- Finite State Machine Extraction and analysis toolDebussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化快速上手五部曲:(Debussy v.5.2)1. Import Files and generate FSDB file2. Trace between hierarchy browser and source code3. Trace between hierarchy browser、source code and schematic4. Trace between hierarchy browser、source code、schematic and waveform5. nLint(nState本文没介绍,有兴趣的读者,请依文后的连结,自行下载CIC所提供的NOVAS原厂编写教材参考)1. Import Files and generate FSDB file1-1 启动Debussy:% Debussy & (此处的D大小写都可以,但其它指令的大小写可能就有差别)开启nTrace window如下,此时工作目录下会新建一个"DebussyLog"目录1-2 Import Files:File \ Import Design...结果如下图所示:nTrace视窗中,含有三个区域,Hierarchical Brower、Source code window、Message window。

Debussy仿真快速上手教程

Debussy仿真快速上手教程

目录Debussy 仿真快速上手教程错误!未定义书签。

Debussy 介绍......................................................................................... 错误!未定义书签。

1. Import Files and generate FSDB file ............................................. 错误!未定义书签。

1-1 启动Debussy ........................................................................... 错误!未定义书签。

1-2 Import Files ............................................................................... 错误!未定义书签。

1-3 设定外部模拟器 ..................................................................... 错误!未定义书签。

1-4 切换到Interactive Mode ......................................................... 错误!未定义书签。

1-5 结束程式 ................................................................................. 错误!未定义书签。

1-6 快速启动执行序 ..................................................................... 错误!未定义书签。

1-7 其他启动Debussy的方法...................................................... 错误!未定义书签。

Debussy新手入门

Debussy新手入门

一、GUI形式观察波形(仅观察波形)1. 用modelsim进行一次完整的仿真,注意一、仿真时要调用参数 -pli <Debussy安装目录>/share/PLI/modelsim_pli/WINNT/novas.dll,即在命令行中输入vsim–pli…<你所编写的testbench文件>,当然,也可以采用一劳永逸的方法:将Debussy 安装目录下的\share\PLI\modelsim_pli\WINNT中的novas.dll拷贝到modelsim安装目录下的win32文件夹中。

然后在modelsim.ini文件中的[vsim]标签下添加Veriuser=novas.dll。

注意二、testbench里的需要加上以下语句:以保证仿真完成后产生*.fsdb波形文件。

所有仿真的结果都存在这个文件中。

debussy可以通过调用这个文件来观察波形。

2. 打开Debussy,如下图所示打开nWave,有两种方式来打开:点击快捷按钮或者选择Tools->New Waveform,如下图所示:3. 调入波形文件,即files->open即可。

界面没有变化。

4. 观察波形,点击按钮或者Signal->Get signals,如下图所示:选中需要查看的信号,选中后背景变黑。

如下图所示。

然后点击Apply,如下图所示:点击OK后,得到下图所示的波形:此时,波形观察完成。

由于所有的信号都已经在*.fsdb文件中,可以方便的查看单独的或多个波形而不用重新仿真。

二、GUI形式配合原始设计文件观察波形Debussy的一大优点在于可以在波形和原始设计文件中切换,即它可以像VC一样进行调试,选中设计文件的某一个信号,查看其波形,甚至可以设计触发条件查看到相应位置。

此时,需要在观察波形前将所有*.V(这里仅以verilog语言为例)文件以及编写的testbench 文件加载到Debussy中去。

debussy使用

debussy使用

前期问题解决方案1.altera后仿真问题系统内有宏模块库的仿真:需要添加库文件,路径\altera\80\quartus\eda\sim_lib220model.v :带有用户原语类型的Quartus自带的IP核的库文件altera_mf.v:Quartus自带的IP核的库文件cycloneii_atoms.v:相应系列的器件库,这个和你选择的器件有关,这一点一定要注意,如果你选择CycloneIII,那就选择III代的,不然会编译不通过,因为你在新建项目的时候已经确立了选用芯片的型号。

布局布线后仿真:首先在新建工程时要选择Modelsim作为仿真工具,在quartus II中编译后,在工程文件夹中生成一个:\simulation\modelsim文件夹,其中包括*.vo是仿真网表文件,可以用来代替设计文件*_modelsim.xrf是Quartus编译生成的信息文件;*_v.sdo是工程延时的文件将*.vo和*_v.sdo添加在工程中。

注意:后仿真可以不加载altera_mf.v,因为*.vo中包含了构造信息和参数。

总结:RTL级前仿真,如果有宏模块库必须加载altera_mf.v,不需要cycloneii_atoms.v 综合布局布线后仿真,必须对cycloneii_atoms.v进行编译,altera_mf.v和*.vo 任选一个即可。

2.debuss波形输入问题Debussy 介绍Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analy sis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram 之间,即时做trace,协助工程师debug。

可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这套软体呢? 其实Debussy v5.0以后的新版本,还提供了nLint -- check coding style & synthesizable,这蛮有用的,可以协助工程师了解如何写好co ding style,并养成习惯。

怎样使用Debussy+ModelSim快速查看前仿真波形

怎样使用Debussy+ModelSim快速查看前仿真波形

怎样使用Debussy+ModelSim快速查看前仿真波形作者:未知 时间:2010-06-18 08:22:23 来自:网络转载引子:ModelSim是HDL仿真软件,Debussy是波形查看软件;搭配使用,相当爽。

此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此。

两款软件的功能都很强大,请自行研究。

注:本篇博文的软件环境为:Debussy 5.3v9 + Modelsim SE 6.5配置篇1 安装、和谐软件。

略。

2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32。

3 取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。

找到view sourceprint?1; Veriuser = veriuser.sl替换为view sourceprint?1Veriuser = novas.dll保存;关闭;设为只读。

配置完,以后就一劳永逸了。

实战篇此处以一简单分频器为例。

文件地图如下:view sourceprint?1│ rtl.f2│ run.bat3│ sim.do4│5└─rtl6 clk_rst.v7 divider.v8 divider_tb.v1 编写欲仿真的HDL文件:RTL级代码+Testbench代码。

保存在文件夹rtl中。

(1)divider.v // RTL级代码模块view sourceprint?01`timescale1ns/10ps0203module divider(05 input i_rst_n,0607 output o_clk08);0910parameter U_DLY = 1;1112// log2(5) = 2.3219 <= 313reg[2:0] cnt_p; // 上升沿计数子1415// 5位上升沿计数器: 0 ~ 416// 4 = 5 - 117always@ (posedge i_clk, negedge i_rst_n)18begin19 if(!i_rst_n)20 cnt_p <= 0;21 else22 begin23 if(cnt_p == 4)24 cnt_p <= 0;25 else26 cnt_p <= #U_DLY cnt_p + 1'b1;27 end28end2930// log2(5) = 2.3219 <= 331reg[2:0] cnt_n; // 下降沿计数子3233// 5位下降沿计数器: 0 ~ 434// 4 = 5 - 135always@ (negedge i_clk, negedge i_rst_n)36begin37 if(!i_rst_n)39 else40 begin41 if(cnt_n == 4)42 cnt_n <= 0;43 else44 cnt_n <= #U_DLY cnt_n + 1'b1;45 end46end474849reg o_clk_p; // 上升沿时钟输出寄存器 5051// 输出上升沿时钟52// 0 ~ 2 ↑-> 153// (2+1) ~ 4 ↑-> 054// 2 = 5>>155// 4 = 5 - 156always@ (posedge i_clk, negedge i_rst_n)57begin58 if(!i_rst_n)59 o_clk_p <= 0;60 else61 begin62 if(cnt_p <= 2) // 2 = 5>>163 o_clk_p <= 1;64 else65 o_clk_p <= 0;66 end67end6869reg o_clk_n; // 下降沿时钟输出寄存器 7071// 输出下降沿时钟73// (2+1) ~ 4 ↓-> 074// 2 = 5>>175// 4 = 5 - 176always@ (negedge i_clk, negedge i_rst_n)77begin78 if(!i_rst_n)79 o_clk_n <= 0;80 else81 begin82 if(cnt_n <= 2) // 2 = 5>>183 o_clk_n <= 1;84 else85 o_clk_n <= 0;86 end87end8889assign o_clk = o_clk_n & o_clk_p; // 按位与(作用:掩码) 9091endmodule(2)clk_rst.v // Testbench的时钟及复位模块view sourceprint?01`timescale1ns/10ps0203module clk_rst(04 output reg i_clk,05 output reg i_rst_n06);0708parameter CLK_PERIOD = 20;09parameter MULT_RATIO = 10;10parameter RESET_TIME = MULT_RATIO * CLK_PERIOD + 1;1112initial13begin14 i_rst_n <= 1'b0;15 #RESET_TIME i_rst_n <= 1'b1;16end1718initial19begin20 i_clk <= 1'b0;21 forever22 #(CLK_PERIOD / 2) i_clk <= ~i_clk;23end2425endmodule把时钟及复位单独剥出来,便于移植到其他平台。

博士德软件:经营管理系统

博士德软件:经营管理系统

第一部分Boss软件的安装及系统初始化这部分主要向您讲述了博士德软件的安装和相关的设置,初次使用本系统所要做的工作及主要步骤,即系统的初始化。

第一章Bossed 配件经营管理系统软件的安装Boss大老板软件的各种版本都是以windows98为工作平台的,所以在安装本系统前必须先安装windows操作系统。

安装本系统,具体操作步聚如下:1)双击博士德安装子目录下的setup文件启动安装程序;2)单击下一步按钮;3)单击下一步按钮;4)单击下一步按钮;5)单击下一步按钮;6)单击下一步按钮;7)单击下一步按钮;8)显示文件复制过程;9) 单击结束按钮第二章计算机系统的相关设置第一节 win 98 系统的设置及其注意事项1.时间、日期格式的设置1)用鼠单击开始—设置—控制面板;2)单击区域设置;3)单击时间标签,从时间样式中选择“HH:MM:SS”格式;4)用鼠标单击日期标签,从短日期样式中选择“YYYY-MM-DD”格式;5)单击确定按钮。

2.显示器的设置在桌面上单击鼠标右键,选择属性,然后单击设置选项,在屏幕区域框中选择显示器分辨率是“800ⅹ600”,在颜色中选择“256”色或以上,然后单击确定即可。

第二节打印机的安装与设置1.安装打印机1)单击开始,鼠标指向设置,单击打印机,然后双击添加打印机;2)按照屏幕提示操作,如果想打印测试页,先要将打印机打开并准备好打印机。

注意:如果想使用共享网络打印机,通过在网上邻居中浏览,单击该打印机的图标,然后在文件菜单上单击安装,可快速安装该打印机。

2.更改打印机设置1)单击开始,指向设置,然后单击打印机;2)右键单击正在使用的打印机的图标,然后单击属性;3)所能更改的设置取决于偶然性的打印机的类型,单击其他选项卡,可以看到能够设置的所有选项。

注意:更改打印机的属性,将对于在该打印上打印的所有文档更改属性。

要更改一个文档的设置,请在您的程序中单击文件菜单,然后单击页面设置或打印设置。

BOSS系统操作手册

BOSS系统操作手册

迪威特业务运营支撑系统DVTe BOSS (V3.0)目录前言 (6)一、系统说明 (7)1。

1系统功能简介 (7)1.2功能结构图 (7)1.3系统框架图 (8)1。

4系统操作说明 (9)二、营业管理 (12)2。

1 数字电视 (12)2。

1。

1 开户 (12)2。

1.2 智能卡购买 (18)2。

1。

3 智能卡批量购买 (21)2.1.4 机顶盒批量购买 (22)2.1。

5 产品订购 (22)2。

1.6 套餐订购 (23)2。

1。

7 智能卡更换 (24)2。

1。

8 产品取消 (25)2.1。

9 产品续订 (26)2。

1。

10 产品更改 (26)2。

1。

11 产品定购撤销 (27)2.1.12 套餐定购撤销 (27)2。

1。

13 购卡撤销 (28)2。

1。

14 套餐续订 (28)2.1。

15 设备退还 (28)2.1.16 智能卡解锁 (29)2.1.17 补开户资料 (29)2。

1.18 授权刷新 (30)2。

1.19 协议撤销 (31)2。

1。

20 设备回收 (31)2.1.21 批量开户 (32)2。

1。

22 详单查询 (32)2。

1.23 退租结算 (33)2.1。

24 重置智能卡密码 (33)2.1。

25 套餐取消 (33)2。

2 综合业务 (33)2。

2。

1 客户资料更改 (33)2.2.2服务类别设置 (34)2。

2。

3 设备购买 (34)2。

2。

4 设备更换 (35)2。

2.5 过户 (35)2.2。

6 迁移 (36)2.2。

7 停/开机 (37)2。

2.8 销户 (38)2。

2.9 银行帐户设置 (38)2。

2。

10 支付关系设置 (39)2。

2。

11套餐转让 (40)2.3 查询业务 (40)2.3。

1 客户资料查询 (40)2。

3。

2 客户资料打印 (41)2。

3。

3 银联交易查询 (42)2。

4 收费业务 (43)2。

4。

1 收费 (43)2。

4。

2 退费 (44)2.4.3 冲正 (45)2.4.4 退预交款 (45)2。

Debussy调试工具理解

Debussy调试工具理解

Debussy调试工具理解Debussy产品简介由NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool非常实用的Verilog\VHDL调试工具,可以帮助设计者快速理解复杂的设计(设计小组中别人开发的复杂、不熟悉的代码或者IP),查找和定位设计中存在的bug,提高效率,缩短产品上市时间。

主要不是用来跑仿真或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、 waveform、state bubble diagram 之间,即时做trace,协助工程师进行调试。

组成单元有四个主要单元(component),nTrace、 nWave、nSchema、nState✧nTrace --超文本连接方式的源代码追踪及分析 (为%Debussy &所开启的主画面)✧nWave –波形分析工具 (可由nTrace内开启,或直接%nWave &开启)✧nSchema --原理图生成及分析✧nState --有限状态机的提取及分析Debussy功能Debussy直接编译Verilog\VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。

在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。

Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF支持的波形格式:vcd,fsdb(fast signal database,比vcd文件小5-50倍),模拟波形文件(PowerMill,TimeMill,Avant! Star- Sim,SmartSpice)支持的仿真器:Verilog-XL,NC-verilog,NC- VHDL,Leapfrog,Modelsim,VCS,Ploaris关于FSDB文件格式Debussy支持的波形格式是fsdb,是Fast Signal Database的简写。

debussy使用教程debussy使用教程

debussy使用教程debussy使用教程

Debussy使用指南一、Debussy介绍Debussy 是NOV AS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。

注:本文使用的Debussy版本为:54v9。

落寞感、无助感;“不敢一刻自废”的进取之意试卷试题(4分)【解析】文本中信息较杂化学教案需、Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。

可以更为清晰地认识这一饮食文化现象试卷试题3试卷试题根据原文内容化学教案下列理解和分析不正确的一项是( ) (3分)二、启动与导入启动Debussy后,需要导入已编译成功的v文件以及相应的testbench、重汤轻油、崇尚清淡、注重养生等特点化学教案最初是通过潮商传遍东西洋的试卷试题导入文件:Design三、nTrace介绍3-1在Hierarchy browser点击“+”可以展开这个testbench所引用的所有模块老板问了他几个问题之后化学教案就拿出了试用期合同化学教案打算聘用这个朴实的小伙子试卷试题3-2点击左侧的模块名称,右边的source code window就会立即切换到相应的module教案旁观者实在不必对那些追求编制、希求稳定工作的年轻人痛心疾首试卷试题3-3 点击代码内的模块名称也会转到左侧的模块列表中你可以利用此方法轻易的追踪出project中所有design之间彼此的联系苯甲酸122249微溶于水化学教案易溶于乙醇、乙醚苯甲醇-15.3205.0稍溶于水化学教案易溶3-4除了追踪design之间的关联性,也可以用同样的方法追踪出信号的drivers与loads。

modelsim_debussy_nlint综合使用方法

modelsim_debussy_nlint综合使用方法

Modelsim_debussy_nlint综合使用方法1软件安装根据软件的安装说明分别安装Modelsim、Debussy、Nlint的软件并将其破解。

三种软件最好不要安装在Program Files文件夹下,因为有时采用命令行调用软件时,文件名中间的空格可能会引起不必要麻烦。

1.1破解Modelsim在C盘下创建flexm文件夹,将keygen.exe生成的license.dat文件拷贝到flexm文件夹下,如果此处还有别的应用软件的license,可以将2个license 直接合并。

打开[系统属性]/高级/环境变量,创建变量LM_LICENSE_FILE,变量值为C:\flexm\license.dat。

Modelsim破解完成。

1.2破解Debussy打开debussy_crack,将Target File指向安装目录下的Debussy.exe文件,依次NEXT,替换掉5个文件,破解完成。

1.3破解Nlint用安装源文件目录下的nLint.exe替换安装后的nLint.exe文件,破解完成。

1.4环境变量设置按照如下例子,根据本机的实现情况完成环境变量的设置:Modelsim装在D:\Modeltech_6.2b环境变量path中追加D:\Modeltech_6.2b \win32debussy装在D:\Debussy设置环境变量的path中追加D:\Debussy\binnlint装在D:\nLint2.2v24环境变量path中追加D:\nLint2.2v24\bin2软件准备让所有软件工作在就绪状态下2.1完成Modelsim库的添加将XILINX的VHDL库文件夹拷贝到Modelsim的安装目录下,XILINX的VHDL库包括unisim、XilinxCoreLib、cpld、simprim 4个。

同时将本目录中的novas 文件夹拷贝到Modelsim的安装目录下。

然后将本目录中的modelsim.ini替换安装目录下的Modelsim.ini文件。

博优商业管理系统迷你版使用说明书

博优商业管理系统迷你版使用说明书

博优思创科技博优商业管理系统说明书目录第一章软件安装 (6)运行环境要求 (6)系统硬件准备 (6)系统软件准备 (6)软件产品准备 (6)安装步骤 (7)安装操作系统。

(7)安装博优商业管理系统迷你版 (7)博优软件注册 (8)后台第一次使用 (9)前台第一次使用 (10)第二章基本档案 (10)商品分类与商品档案 (10)多单位商品 (14)辅助条码商品 (14)特价打包商品 (24)同码系列商品 (15)货商分类与货商档案 (15)操作员档案 (17)数据导入 (18)第三章采购管理 (19)采购入库单 (20)采购退货单 (20)货商结算单 (21)采购报表查询 (21)第四章零售管理 (24)POS收银实时监控 (24)前台销售明细表 (25)促销调价单 (26)收银结款单 (27)收银管理报表 (28)第五章库存管理 (31)报损单 (31)盘点单 (32)商品库存查询 (32)库存管理报表 (33)第六章会员管理 (35)金卡档案 (35)金卡分类 (36)金卡制作 (36)金卡充值 (37)金卡冲减积分 (38)会员管理报表 (38)报表中心要点 (40)第七章系统功能 (42)系统功能 (42)参数设置 (42)促销注意 (46)操作日志 (46)数据备份与恢复 (47)数据清除 (48)初始密码.............................................. 错误!未定义书签。

修改密码 (50)权限设置 (50)第八章前台 (52)前台操作 (52)第九章附件(要点) (59)1、第一次使用博优软件应该设置哪些期初项目 (59)2、一品多码、一码多品分别有那些方面的知识 (60)3、什么情况下有可能是错价商品 (60)4、普通商品编码的两种类型 (61)5、条(编)码的四种自动生成 (61)6、如何进行盘点 (61)7、盘点的四种方法 (62)8、列操作 (62)9、列设定 (64)10、万能查询器 (65)11、电子称数据导出 (66)12、右击设定 (67)13、系统中一包烟和一条烟是如何处理的 (69)15、权限控制的四种方法 (69)16、打印报表的自定义设计 (71)17、批量生成金卡用户 (72)18、博优软件操作方面的几大特点 (72)第一章软件安装运行环境要求系统硬件准备在安装“博优商业管理系统迷你版”软件产品之前,您必须按照第一章的“运行环境要求”准备好所有的硬件。

Debussy教程

Debussy教程
Debussy 教程
作者:未知 时间:2006-03-26 06:23:02 来自:网上转载 浏览次数:1732 文字大小: 【大】 【中】 【小個 Verilog 寫 的 4-bit full-adder , 與 testbench)
觀念介紹 Debussy 是 NOVAS Software, Inc ( 思 源 科 技 ) 發 展 的 HDL Debug & An alysis tool ,這 套 軟 體 主 要 不 是 用 來 跑 模 擬 或 看 波 形 ,它 最 強 大 的 功 能 是 :能 夠 在 HDL source code 、 schematic diagram 、 waveform 、 state bubble diagra m 之 間 , 即 時 做 trace , 協 助 工 程 師 debug 。 可 能 您 會 覺 的 : 只 要 有 simulator 如 ModelSim 就 可 以 做 debug 了 , 我 何 必 再 學 這 套 軟 體 呢 ? 其 實 Debussy v5.0 以 後 的 新 版 本 , 還 提 供 了 nLint -- check coding style & synthesizable , 這 蠻 有 用 的 , 可 以 協 助 工 程 師 了 解 如 何 寫 好 co ding style , 並 養 成 習 慣 。 下 圖 所 示 為 整 個 Debussy 的 原 理 架 構 , 可 歸 納 幾 個 結 論 : Debussy 有 四 個 主 要 單 元 (component) , nTrace 、 nWave 、 nSche ma 、 nState nTrace -- Hypertext source code analysis and browse to

debussy使用教程

debussy使用教程

Debussy使用指南一、Debussy介绍Debussy 是NOV AS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。

注:本文使用的Debussy版本为:54v9。

Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。

二、启动与导入启动Debussy后,需要导入已编译成功的v文件以及相应的testbench导入文件:File\Import Design三、nTrace介绍3-1在Hierarchy browser点击“+”可以展开这个testbench所引用的所有模块3-2点击左侧的模块名称,右边的source code window就会立即切换到相应的module3-3 点击代码内的模块名称也会转到左侧的模块列表中你可以利用此方法轻易的追踪出project中所有design之间彼此的联系3-4除了追踪design之间的关联性,也可以用同样的方法追踪出信号的drivers与loads。

点选代码中的任意信号,使用工具栏中“D”与“L”可以查看此信号的drivers与loads,右侧的箭头用于选择上一个与下一个。

四、nSchema介绍点击工具栏中的New Schematic即可进入nSchema,中的上一层与下一层,当到达最底层时,可以通过双击某一图形单元查看其代码五、nWave介绍5-1 fsdb文件生成Debussy中,nWave只能导入fsdb文件来观察波形,fsdb文件通常是有ModelSim软件生成的,但要让ModelSim能成生成fsdb文件,必需要有如下步骤:第一步:挂PLI找到Debussy安装目录下\share\pli\modelsim_pli\winnt\下的novas.dll文件,复制到ModelSim安装目录下\win32中,找到modelsim.ini(通常在我的文档中或modelsim安装目录下),去“只读”勾选进行编辑,找到[vsim],添加Veriuser = novas.dll第二步:修改环境变量变量名:D_LIBRARY_PATH变量值:Debussy安装目录下的novas.dll如D:\Debussy\share\pli\modelsim_pli\winnt\novas.dll变量名:PLIOBJS变量值:同上(注:上述步骤只需配置一次)第三步:在testbench中加入如下代码initialbegin$fsdbDumpfile("filename_you_want.fsdb");$fsdbDumpvars;end第四步:在modelsim中进行编译,仿真,run,之后就产生了fsdb文件5.2nWave使用点击工具栏中New Waveform进入nWave。

DSpoty包用户指南说明书

DSpoty包用户指南说明书

Package‘DSpoty’October12,2022Type PackageDate2020-01-07Title Get'Spotify'API Multiple InformationVersion0.1.0Author Alberto Almuiña<*********************************>Maintainer Alberto Almuiña<*********************************>Description You can retrieve'Spotify'API Information such as artists,albums,tracks,fea-tures tracks,recommendations or related artists.This package allows you to search all the information by name and also includes a dis-tance based algorithm tofind similar songs.More information:<https:///documentation/web-api/>. License GPL-3Encoding UTF-8LazyData trueRoxygenNote6.1.1URL https:///AlbertoAlmuinha/DSpotyImports dplyr,purrr,httr,stringrNeedsCompilation noRepository CRANDate/Publication2020-01-1610:50:02UTCR topics documented:get_album_popularity (2)get_artist (3)get_artists_by_genre (3)get_artist_albums (4)get_artist_tracks (5)get_artist_tracks_features (6)get_related_artists (7)12get_album_popularity get_several_artists (7)get_several_tracks (8)get_several_tracks_features (9)get_several_tracks_features_vectorized (10)get_similar_songs_algorithm (10)get_spotify_access_token (11)get_spotify_item (12)get_tracks_recommendations (13)get_tracks_recommendations_by_name (14)get_track_popularity (14)Index16 get_album_popularity Get Album PopularityDescriptionThis function returns the popularity of an album on Spotify.Usageget_album_popularity(album_uri,limit=1,offset=0,access_token=DSpoty::get_spotify_access_token())Argumentsalbum_uri An album uri from Spotify to search for or a group of albums uris separated bya commalimit Integer indicating the max number of artists to return.Defaults to1,max of50.offset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’s API default value).access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns an integer vector with the popularity value(0-100).Author(s)Alberto Almui?aExamples##Not run:get_album_popularity( 1T2uKSyUf7DBWOABtsmYLM )##End(Not run)get_artist3 get_artist Get ArtistDescriptionThis function searches spotify artists by nameUsageget_artist(artist_name,offset="0",limit="1",access_token=DSpoty::get_spotify_access_token())Argumentsartist_name String of artist nameoffset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’s API default value).limit Integer indicating the max number of artists to return.Defaults to1,max of50.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns a data frame with the artist’s informationAuthor(s)Alberto Almui?aExamples##Not run:get_artist( Arctic Monkeys )##End(Not run)get_artists_by_genre Get Artists by GenreDescriptionFunction to search artists by genreUsageget_artists_by_genre(genre=is.character(),offset="0",limit="10",year=2019,access_token=DSpoty::get_spotify_access_token())4get_artist_albumsArgumentsgenre String of genre name to search foroffset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’s API default value).limit Integer indicating the max number of artists to return.Defaults to10,max of50.year Year to search foraccess_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns a data frame with the artist’s information of a determined genreAuthor(s)Alberto Almui?aExamples##Not run:rock_artists<-get_artists_by_genre("rock")##End(Not run)get_artist_albums Get Artist AlbumsDescriptionThis function returns an artist’s discography on Spotify.Usageget_artist_albums(artist_name,album_type="album",limit=50,offset=0,access_token=DSpoty::get_spotify_access_token())Argumentsartist_name String of artist name.album_type Character vector of album types to include.Valid values are"album","single", "appears_on",and"compilation".Defaults to"album".limit Integer indicating the max number of artists to return.Defaults to50,max of50.offset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’s API default value).access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token()get_artist_tracks5ValueReturns a data frame with all the albums of the selected artistAuthor(s)Alberto AlmuiñaExamples##Not run:albums<-get_artist_albums( radiohead )##End(Not run)get_artist_tracks Get Artist TracksDescriptionThis function returns all the tracks of an artist.Usageget_artist_tracks(artist_name,limit=50,offset=0,access_token=DSpoty::get_spotify_access_token())Argumentsartist_name String of artist name.limit Integer indicating the max number of artists to return.Defaults to50,max of50.offset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’s API default value).access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token(). ValueReturns a data frame with all the tracks of the selected artist.Author(s)Alberto Almui?aExamples##Not run:tracks<-get_artist_tracks( Shakira )##End(Not run)6get_artist_tracks_features get_artist_tracks_featuresGet Artist Tracks FeaturesDescriptionThis function returns audio features for all the tracks of a given artistUsageget_artist_tracks_features(artist_name,limit=100,offset=0,access_token=DSpoty::get_spotify_access_token())Argumentsartist_name String of an artist namelimit Integer indicating the max number of artists to return.Defaults to100,max of 100.offset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’s API default value).access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns a data frame with the tracks features for all the tracks of an artistAuthor(s)Alberto Almui?aExamples##Not run:tracks_features<-get_artist_tracks_features( Shakira )##End(Not run)get_related_artists7 get_related_artists Get Related ArtistsDescriptionThis function returns the most similar artists of the selected artist.Usageget_related_artists(artist_uri,access_token=DSpoty::get_spotify_access_token())Argumentsartist_uri Artist ID.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns a data frame with the related artist information.Author(s)Alberto Almui?aExamples##Not run:get_related_artists( 7Ln80lUS6He07XvHI8qqHH )##End(Not run)get_several_artists Get Several ArtistsDescriptionThis function allows you to load several artists in a faster way.Usageget_several_artists(artist_ids_df,ids_label=1,access_token=DSpoty::get_spotify_access_token())8get_several_tracksArgumentsartist_ids_df Dataframe containing the artists ids in one of the columns.ids_label Integer indicating the column number of the artists ids.Default to1.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns a data frame with all the artist’s informationAuthor(s)Alberto Almui?aExamples##Not run:get_several_artists(df,1)##End(Not run)get_several_tracks Get Several TracksDescriptionThis function allows you to load several tracks in a faster way.Usageget_several_tracks(tracks_id_df,ids_label=1,access_token=DSpoty::get_spotify_access_token())Argumentstracks_id_df Dataframe containing the tracks ids in one of the columns.ids_label Integer indicating the column number of the tracks ids.Default to1.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns a data frame with all the artist’s informationAuthor(s)Alberto Almui?aget_several_tracks_features9 Examples##Not run:get_several_tracks(df,1)##End(Not run)get_several_tracks_featuresGet Several Tracks FeaturesDescriptionThis function allows you to load several tracks features in a faster way.Usageget_several_tracks_features(tracks_df,access_token=DSpoty::get_spotify_access_token())Argumentstracks_df Dataframe containing the tracks ids in thefirst column.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token(). ValueReturns a data frame with all the tracks_features for the selected tracks uris.Author(s)Alberto Almui?aExamples##Not run:get_several_tracks_features(df)##End(Not run)10get_similar_songs_algorithm get_several_tracks_features_vectorizedGet Several Tracks Features VectorizedDescriptionThis function allows you to load several tracks features really fast specially with a large amount of tracks.Improves the performance in comparision with get_several_tracks_features.Usageget_several_tracks_features_vectorized(tracks_df,access_token=DSpoty::get_spotify_access_token())Argumentstracks_df Dataframe containing the tracks ids in thefirst column.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token(). ValueReturns a data frame with all the tracks_features for the selected tracks uris.Author(s)Alberto Almui?aExamples##Not run:get_several_tracks_features_vectorized(df)##End(Not run)get_similar_songs_algorithmGet Similar Songs AlgorithmDescriptionThis functionfinds the most similar songs based on related artists.Usageget_similar_songs_algorithm(artist_name,artist_track,access_token=DSpoty::get_spotify_access_token())get_spotify_access_token11Argumentsartist_name String of artist nameartist_track String of artst trackaccess_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token() ValueReturns a data frame with the tracks informationAuthor(s)Alberto AlmuiñaExamples##Not run:get_similar_songs_algorithm( Leiva , Nuclear )##End(Not run)get_spotify_access_tokenGet Spotify Access Token.DescriptionThis function returns the Spotify’s access token.Usageget_spotify_access_token(client_id=Sys.getenv("client_id"),client_secret=Sys.getenv("client_secret"))Argumentsclient_id String with the Spotify CLIENT_ID.client_secret String with the Spotify CLIENT_SECRET.ValueReturns a string with de access token.Author(s)Alberto Almui?a12get_spotify_itemExamples##Not run:access_token<-get_spotify_access_token(client_id= client_id ,client_secret= client_secret ) ##End(Not run)get_spotify_item Get Spotify ItemDescriptionThis function searches spotify item by nameUsageget_spotify_item(name=NULL,type,limit=1,offset=0,access_token=DSpoty::get_spotify_access_token(),artist=NULL,album=NULL)Argumentsname String of item name(artist,album,track...).Default:NULLtype String of the search type.Possible values are’artist’,’album’and’track’.limit Integer indicating the max number of artists to return.Defaults to1,max of50.offset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’sAPI default value).access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token()artist String of album’s artist name.Default:NULLalbum String of album name.Default:NULLDetailsYou have two options to use this function:Search by parameter name or search by parameters artist+album.In this second case,type must always be’album’.ValueReturns a data frame with the item’s informationAuthor(s)Alberto Almuiñaget_tracks_recommendations13Examples##Not run:get_spotify_item(name= Arctic Monkeys ,type= artist )get_spotify_item(artist= Leiva ,album= Nuclear ,type= album )get_spotify_item(name= Do I Wanna Know? ,type= track )##End(Not run)get_tracks_recommendationsGet Tracks RecommendationsDescriptionThis function returns the recommendend songs by Spotify.Usageget_tracks_recommendations(artist_uri,track_uri,limit=10,access_token=DSpoty::get_spotify_access_token())Argumentsartist_uri Artist ID.track_uri Track ID.limit Integer indicating the max number of artists to return.Default10.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token(). ValueReturns a data frame with the recommended artists information.Author(s)Alberto Almui?aExamples##Not run:get_tracks_recommendations( 7Ln80lUS6He07XvHI8qqHH , 0FgNSsaSZTvbLXUumSO8LQ )##End(Not run)get_tracks_recommendations_by_nameGet Tracks Recommendations By NameDescriptionThis function returns the recommendend songs by Spotify.Usageget_tracks_recommendations_by_name(artist_name,track_name,limit=10,access_token=DSpoty::get_spotify_access_token())Argumentsartist_name Artist Name character.track_name Track Name character.limit Integer indicating the max number of artists to return.Default10.access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token(). ValueReturns a data frame with the recommended songs information.Author(s)Alberto Almui?aExamples##Not run:get_tracks_recommendations_by_name( Arctic Monkeys , Do I wanna know? )##End(Not run)get_track_popularity Get Track PopularityDescriptionThis function that returns the popularity of a track uri on Spotify.Usageget_track_popularity(track_uri,limit=1,offset=0,access_token=DSpoty::get_spotify_access_token())Argumentstrack_uri A track uri corresponding to one track in Spotify.limit Integer indicating the max number of artists to return.Defaults to1,max of50.offset Integer indicating the offset of thefirst artist to return.Defaults to0(Spotify’s API default value).access_token Spotify Web API token.Defaults to DSpoty::get_spotify_access_token(). ValueReturns an integer vector with the popularity value(0-100).Author(s)Alberto Almui?aExamples##Not run:popularity<-get_track_popularity( 0FgNSsaSZTvbLXUumSO8LQ )##End(Not run)Indexget_album_popularity,2get_artist,3get_artist_albums,4get_artist_tracks,5get_artist_tracks_features,6get_artists_by_genre,3get_related_artists,7get_several_artists,7get_several_tracks,8get_several_tracks_features,9get_several_tracks_features_vectorized,10get_similar_songs_algorithm,10get_spotify_access_token,11get_spotify_item,12get_track_popularity,14get_tracks_recommendations,13get_tracks_recommendations_by_name,1416。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Debussy 入门指南(上)ahan/阿憨ahan.mail@Debussy 简介Debussy 智能调试系统改善了不同层次,门级、RTL和行为级复杂AISC和IC的调试过程。

它提供了一个集成Verilog和HDL分析和调试的工具来减少整个芯片设计的时间,提高工程师的工作效率。

主要部件有:nTrace – 一个独立的层次行的源代码分析和浏览工具。

nSchema – 一个面向调试的层次性的原理图生成器。

nWave-一个无比全面的波形分析工具。

nState—一个功能强大的快速验证有限状态机功能的调试工具。

所有Debussy模块全部通过一个智能的数据库和FSDB。

信号的数值状态自动链接到所有的窗口。

操作直观方便,有上下文和超级链接。

例如,紧密的集成环境可以让你找到一个信号的源代码或者原理图和波形图,通过把这个信号拉入源代码窗口中。

Debussy 有两种工作模式:交互模式和后处理模式。

使用你设计的HDL 源代码,Debussy 可以生成FSDB(Fast Signal DataBase)。

你也可以通过Debussy把VCD或者TimeMill、PowerMill 仿真结果转为FSDB。

Debussy支持在读入VCD文件的时候直接转化为FSDB格式。

交互模式可以让你实时的控制你的仿真并用图形调试仿真结果。

后处理模式可以让你输出仿真的结果通过PLI输出到FSDB文件中。

在仿真结束后再到debussy中做交互式调试。

Debussy窗口界面Debussy是一个多窗口,用户界面简便的应用软件。

它可以在Linux,Motif,OpenWindows和其他X-window的系统上运行。

下面我们逐一介绍:nTrace – 一个独立的层次形的源代码分析和浏览工具。

当你启动Debussy,nTrace会显示在中间的窗口中,作为其他窗口创建的主界面。

当你在nTrace中打开一个新的设计,Debussy就会关闭存在的nWave和nSchema窗口。

Source Debug Mode(源代码调试模式)分三个部分,层次浏览窗口,信息浏览窗口和超文本的代码窗口。

超文本源代码窗口可以显示设计中的源代码和对于的原理图,这取决于观看的模式的选择。

从工具栏中View->Source Code View or Schematic View下拉菜单可以切换模式。

Interactive Mode(交互模式)通过Tool->Interactive Mode 可以进入交互模式。

交互模式是从Debussy界面调用仿真器做调试,个人建议先dump 波形再到debussy做调试。

nWave-一个无比全面的波形分析工具。

窗口又分三个主要界面,信号界面,数值界面和波形界面,基本结构和 nWaveModelsim的Waveform没有太大区别。

波形窗口中分cursor黄色的光标和Marker白色的标记,默认情况下光标只会停留在signal transition的位置,可以通过Option->Snap来改变,这样子你就可以把光标放在任何位置。

在计算delta time的时候可以通过中键来使用Maker,一旦使用了Marker,在Waveform中右键就是展开两个标记之间波形的默认键。

在波形窗口中可以打开多个仿真文件,但是一个时间内只有一个有效,可以通过File->Active来选择。

我们可以将波形窗口的信号拉弋进入nTrace或者nSchema中,追溯信号的连接关系,或者通过双击Waveform的信号来追溯该信号的驱动源。

nSchema – 一个面向调试的层次性的原理图生成器。

原理图窗口显示了相应源代码的原理图,提供另外一个调试界面。

你可以通过菜单或者鼠标进行调试。

nState—一个功能强大的快速验证有限状态机功能的调试工具。

双击原理图窗口或者nTrace窗口中的原理图中的FSM模块或者可以弹出nState 这个窗口。

到此,我们介绍完了Debussy的所有窗口和界面,及其简要的功能,下面我们将按照使用经验介绍Debussy在代码调试中的一些基本使用流程,使用技巧和特殊功能,希望能够给模块级仿真和系统验证者提供快速的入门手册。

Debussy 使用技巧这部分我们通过一个个专题讲述如何使用Debussy,其中涉及到的例子可以在debussy的安装目录下的/demo可以找到。

(一) 如何加载设计?方式1:命令行加载设计> debussy –f run.f &方式2:菜单命令加载> debussy(运行调用debussy,出现nTrace界面)File-> Import Design选中From File 栏选中run.f,点击ADD,再点击OK。

上面两种方式Debussy都会自动编译run.f中的所有源文件,而且run.f文件支持-v这种选项,这样在调用ASIC的时候可以自动加载使用到的库元件。

编译完所有文件之后信息窗口就会显示编译信息,可以通过调用File->ViewImport Log在独立的窗口查看详细的信息报告。

(二)如何调用波形窗口和加载波形文件?nTrace中左上角(如图红色圈内)分别是波形窗口,原理图窗口和源代码窗口的启动键,点击即可启动一个新的窗口。

启动波形窗口之后,选择File->Open,就可以打开波形文件,默认方式是fsdb格式的波形文件,也可以选择vcd格式的,debussy在加载的时候自动转换格式。

从nTrace 的层次窗口或者源代码窗口中选中某个模块或者某个信号(使用中键)拉弋到波形窗口中即可显示对模块的IO信号或者对应的信号。

注意debussy的波形窗口默认不显示信号的层次结构。

也可以通过波形窗口中的Signal->Get Signals或者点击Get Signal图标来添加信号。

(三) 如何在波形窗口,源代码窗口中追溯信号的驱动源?在波形窗口中我们可以通过双击信号的波形来追溯信号的驱动源,从而定位错误出现的位置。

在源代码窗口中可以通过双击信号的名字来追溯该信号的驱动源。

在源代码窗口中也可以通过右键菜单来实现这个功能。

在窗口中选择Trace->Reset Traced Signals’s Color可以取消追溯过程中带来的信号高亮度的问题。

一般情况下我们是从信号的波形图中某一时刻的波形异常处双击该波形,追溯到源代码窗口中的对应赋值语句,从而找到该时刻的驱动源。

(四)如何让波形,源代码和原理图三个窗口同步显示?我们可以通过选择Tool->Options->Sync Signal Selection,来同步所有窗口中的信号。

一旦你在nWave或者源代码窗口或者原理图窗口选择了任何一个信号,其他两个窗口也会同步显示该信号。

(五)如何反标信号数值到源代码和原理图窗口中?在很多调试情况下,在源代码窗口显示信号或者总线的数值也有一定的好处。

nTrace 提供了这样一个功能,Source->Active Annotation。

信号值显示Cursor当前时刻的数值。

在原理图窗口中也可以反标,Schematic->Active Annotation。

(六)如何保存当前调试的所有设置和界面?在你启动debussy到退出debussy之前是一段很长的调试过程,你可以保存当前的调试环境,并在下次调用debussy的时候使用。

Debussy自动把当前的调试环境保存在工作目录下的DebussyLog的lastSession.ses中。

File->Save Session保存当前调试状态,下次启动后File->Restore Session.(七)在波形窗口的信号名一栏中使用中键确定添加信号的切入位置。

(八)如何使用Cursor和Marker?nWave的波形窗口中默认有一个Cursor(黄色)和一个Marker(白色),左键是Cursor,中键是Marker,在波形窗口中右键是放大Cursor和Marker之间波形的快捷键。

默认情况下Cursor和Marker都是停留在信号的Transition处,你可以通过点击Wave->Snap Cursor To Transitions关闭或者启动这个功能。

(九)如何给信号起别名?在某些情况下,为了分析方便,如分析状态机状态跳变,我们可以通过使用起别名的方法。

通过使用Waveform->Signal Value Radix ->Add Alias from File或者Waveform->Signal Value Radix ->Browse Alias命令来实现。

在这里我们张贴出demo程序中的一个CPU状态机的alias文件,供大家使用参考:CLRA 8'h04LDA 8'h14LDA-8'h18STA 8'h28STA- 8'h2CADDA 8'h34ADDA- 8'h38SUBA 8'h48INCA 8'h08LDX 8'h54TAX 8'h0CJMP 8'h8CBNZ 8'h648'h20DATA(十)如何自定义总线信号?创建自己想要的总线信号,如在16bit的总线中,你只想看到其中的偶数位的总线,或者你想把几条信号合并成一条总线信号,都可以在debussy中轻松实现。

选中要操作的信号或者总线,Signal->Create Bus.(十一) 如何保存信号列表?在调试的过程中,你或许会打开很多窗口,同时你也会添加了很多信号,在下次调试的时候你仍然希望添加这些信号,可以通过保存信号的来实现这个想法。

File->Save Signal,把信号保存在*.rc的文件中,下次打开仿真结果,通过Flie->Restore Signal就可以看到希望的信号了。

(十二) 如何使用信号网格?在某些情况下,通过Cursor和Maker来计算Delta时间是很难估算出两个信号(或者是信号的边沿)之间的周期数的,你可以选中信号的驱动时钟,通过添加网格线的方法来实现周期数的计算。

View->Grid on Rising Edge,这样子网格线就会出现在每个周期中,View->Grid Count来显示从Cursor到每个网格线的网格个数。

(十三) 如何同步两个波形窗口?使用两个窗口来分析两个相关的仿真结果。

为了保持两个仿真数据处于同一个参考点,我们可以选择Windows->Sync Waveform View来实现。

取消这个设置可以重新选择这个选项。

(十四) 如何显示模拟波形?在波形窗口中File->Open,通过修改文件过滤为*.*,打开PowerMill输出的powermill.out格式的文件结果。

相关文档
最新文档