集成电路版图复习课答案总结(最终版)

合集下载

集成电路版图复习课答案总结

集成电路版图复习课答案总结

1、描述集成电路工艺技术水平的五个技术指标及其物理含义⑴集成度(Integration Level):以一个IC芯片所包含的元件(晶体管或门/数)来衡量,(包括有源和无源元件)。

⑵特征尺寸 (Feature Size) /(Critical Dimension):特征尺寸定义为器件中最小线条宽度(对MOS器件而言,通常指器件栅电极所决定的沟道几何长度),也可定义为最小线条宽度与线条间距之和的一半。

⑶晶片直径(Wafer Diameter):当前的主流晶圆的尺寸为12寸(300mm),正在向18寸(450mm)晶圆迈进。

⑷芯片面积(Chip Area):随着集成度的提高,每芯片所包含的晶体管数不断增多,平均芯片面积也随之增大。

⑸封装(Package):指把硅片上的电路管脚,用导线接引到外部接头处,以便于其它器件连接。

封装形式是指安装半导体集成电路芯片用的外壳。

2、简述集成电路发展的摩尔定律。

集成电路芯片的集成度每三年提高4倍,而加工特征尺寸缩小倍,这就是摩尔定律。

当价格不变时,集成电路上可容纳的晶体管数目,约每隔18个月便会增加一倍,性能也将提升一倍3、集成电路常用的材料有哪些?集成电路中常用的材料有三类:半导体材料,如Si、Ge、GaAs?以及InP?等;绝缘体材料,如SiO2、SiON?和Si3N4?等;金属材料,如铝、金、钨以及铜等。

4、集成电路按工艺器件类型和结构形式分为哪几类,各有什么特点。

双极集成电路:主要由双极晶体管构成(NPN型双极集成电路、PNP型双极集成电路)。

优点是速度高、驱动能力强,缺点是功耗较大、集成度较低。

CMOS集成电路:主要由NMOS、PMOS构成CMOS电路,功耗低、集成度高,随着特征尺寸的缩小,速度也可以很高。

BiCMOS集成电路:同时包括双极和CMOS晶体管的集成电路为BiCMOS集成电路,综合了双极和CMOS器件两者的优点,但制作工艺复杂。

5、解释基本概念: 微电子、集成电路、集成度、场区、有源区、阱、外延微电子:微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。

版图复习题-解答(1)

版图复习题-解答(1)

选择NMOS器件的衬底是型半导体。

( B )A. N型 B. P型C. 本征型D. 耗尽型N型半导体材料的迁移率比P型半导体材料的迁移率(C)A. 相等 B. 小C. 大D. 不确定在layout中给金属线加线名标注,即用lable按schematic的Pin的要求对所要标注的金属线进行说明,通常对metal1层加Pin的标注是用下列层次中的哪一层?(b)A. metal1 layerB. mt1txt layerC. metal2 layerD. mt2txt layer在集成电路版图设计中,via1层通常是用来做第一层金属层和下列哪些层次的通孔层的?(A)A. metal2B. activeC. polyD. nmell在集成电路版图设计中,如果想插入一个器件或单元,请问用哪个快捷键?(C)A. a B. cC. iD. k在集成电路版图设计中,如果想把画过的尺子清除掉,请问用哪个快捷键?(D)A. aB. kC. iD. shift k在一个一般的制程中,下列材料集成电阻,方块电阻最大的是(B)A. 扩散电阻 B. 阱电阻C. 多晶硅电阻D. 铝层连线电阻下列关于保护环的说法不正确的是。

( D )A. 保护环的目的是给衬底或阱提供均匀的偏置电压。

B. 保护环可以接在VDD或GND上。

C. 保护环可以减少衬底耦合噪声对敏感电路的影响。

D. 保护环无助于闩锁效应的避免。

设计模拟版图时,要考虑的问题比作数字版图多,下列哪个方面不要考虑?(a )A. 面积要小B.寄生效应(parasitics)C.对称(matching)D.噪声问题(noise issues)关于集成电路中的无源器件说法不正确的是。

( C )A. 集成电路无法高效的实现高值无源器件。

B.要精确实现某一特定阻值的电阻几乎是不可能的。

C.由于制造工艺的偏差,无源器件的比例容差(Ratio Tolerance)也必定很大。

D.尽管存在制造工艺上的偏差,但是无源器件的比例容差(Ratio Tolerance)可以控制在很小的范围内。

集成电路版图设计习题答案第八章MOS场效应晶体管

集成电路版图设计习题答案第八章MOS场效应晶体管

集成电路版图设计习题答案第8章 MOS场效应晶体管【习题答案】1.请画出MOS晶体管的结构示意图。

答:2.请简述MOS晶体管各个版图层的作用。

●答:阱层(Well):阱层定义在衬底上制备阱的区域。

NMOS管制备在P型衬底上,PMOS管制备在N型衬底上。

一块原始的半导体材料,掺入的杂质类型只能有一种,即该衬底不是N型就是P型。

如果不对衬底进行加工处理的话,该衬底只能制备一种MOS晶体管。

CMOS集成电路是把NMOS晶体管和PMOS晶体管制备在同一个硅片衬底上,为了能够制造CMOS集成电路,需要对衬底进行处理,利用掺杂工艺在衬底上形成一个区域,该区域的掺杂类型和衬底的掺杂类型相反,这个区域就称为阱。

●有源区层(Active):有源区层的作用是在衬底上定义制作有源区的区域,该区域包括源区、漏区和沟道。

在衬底上淀积厚氧化层,利用光刻和刻蚀工艺在衬底上开窗口并把厚氧化层除去就可形成有源区,有源区之外的区域是场区。

显然,MOS管必须而且只能制备在有源区内。

●多晶硅层(Poly):多晶硅层的作用是定义制作多晶硅材料的区域。

最早的MOS集成电路制造工艺只能制备一层多晶硅,而现在已经有能够制备两层多晶硅的工艺了。

对于双层多晶硅工艺,第一层多晶硅主要用来制作栅极、导线和多晶硅—多晶硅电容的下极板,第二层多晶硅主要用来制作多晶硅电阻和多晶硅-多晶硅电容的上极板。

双层多晶硅工艺具有多晶硅1和多晶硅2这两个版图层。

●P+注入层和N+注入层(P+implant和N+ implant):P+注入层定义注入P+杂质离子的区域,而N+注入层定义注入N+杂质离子的区域。

由于NMOS晶体管和PMOS晶体管的结构相同,只是源漏区的掺杂类型相反。

同时,有源区层只是定义了源区、漏区和沟道的区域,却没有说明源区和漏区的掺杂类型。

P+注入层和N+注入层说明了注入杂质的类型,也就是说明了有源区的导电类型,实现了NMOS晶体管和PMOS晶体管的区分。

(xin)集成电路专业课课程习题重点总结概括归纳O(∩_∩)O

(xin)集成电路专业课课程习题重点总结概括归纳O(∩_∩)O

重新整理一遍——————1、集成电路的发展遵循了什么定律?简述集成电路设计流程。

说明版图设计在整个集成电路设计中所起的作用。

答:摩尔定律:集成电路的集成度,即芯片上晶体管的数目,每隔18个月增加一倍或者每3年翻两番。

版图设计的作用:1、满足电路功能性能指标质量要求2、尽可能节省面积以提高集成度,降低成本3、尽可能缩短连线,以减少复杂度,缩短时间,改善可靠性;2、(1)集成电路设计方法的种类主要有哪些?(2)名词解释:ASIC、SOC、DSP、HDL等常见缩写答:(1)全制定设计方法,半制定设计方法,标准单元设计方法,通用单元设计方法,可编程逻辑电路设计方法。

(2)ASIC(Application Specific Intergrated Circuits)专用集成电路:指特定用户要求和特定电子系统的需要而设计、制造的集成电路SOC(System On Chip)系统及芯片、片上系统:指它是一个产品、是一个有专用目标的集成电路,其中包括完整系统并有嵌入软件的全部内容DSP(Digital Signal Processing)数字信号处理:是一门涉及许多学科而又广泛应用于许多领域的新兴学科HDL(Hardware Description Language)硬件描述语言:指对硬件电路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言3、(1)描述多晶硅在CMOS工艺中所起的基本作用。

(2)假定某材料的方块电阻值为10 Ω,电阻的长度为30 μm,宽度为10 μm,该电阻阻值为多少?如果其他条件不变,长度变为25 μm,则该电阻的阻值又是多少?答:(1)多晶硅有着与单晶硅相似的特性,并且其特性可随结晶度与杂质原子的改变而改变。

在MOS 及双极型器件中,多晶硅可用来制作栅极、源极与漏极的欧姆接触、基本连线、薄PN 结的扩散源、高值电阻等。

(2)R=Rs*L/W(Rs 为方块电阻,L 为长度,W 为宽度)4、 SOI 材料是怎样形成的,有何特点?肖特基接触和欧姆型接触各有什么特点?答:SOI 绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。

集成电路分析期末复习总结

集成电路分析期末复习总结

集成电路分析期末复习总结集成电路分析集成工业的前后道技术:半导体(wafer)制造企业里面,前道主要是把mos管,三极管作到硅片上,后道主要是做金属互联。

集成电路发展:按规模划分,集成电路的发展已经历了哪几代?参考答案:按规模,集成电路的发展已经经历了:SSI、MSI、LSI、VLSI、ULSI及GSI。

它的发展遵循摩尔定律解释欧姆型接触和肖特基型接触。

参考答案:半导体表面制作了金属层后,根据金属的种类及半导体掺杂浓度的不同,可形成欧姆型接触或肖特基型接触。

如果掺杂浓度比较低,金属和半导体结合面形成肖特基型接触。

如果掺杂浓度足够高,金属和半导体结合面形成欧姆型接触。

、集成电路主要有哪些基本制造工艺。

参考答案:集成电路基本制造工艺包括:外延生长,掩模制造,光刻,刻蚀,掺杂,绝缘层形成,金属层形成等。

光刻工艺:光刻的作用是什么?列举两种常用曝光方式。

参考答案:光刻是集成电路加工过程中的重要工序,作用是把掩模版上的图形转换成晶圆上的器件结构。

曝光方式:接触式和非接触式25、简述光刻工艺步骤。

参考答案:涂光刻胶,曝光,显影,腐蚀,去光刻胶。

26、光刻胶正胶和负胶的区别是什么?参考答案:正性光刻胶受光或紫外线照射后感光的部分发生光分解反应,可溶于显影液,未感光的部分显影后仍然留在晶圆的表面,它一般适合做长条形状;负性光刻胶的未感光部分溶于显影液中,而感光部分显影后仍然留在基片表面,它一般适合做窗口结构,如接触孔、焊盘等。

常规双极型工艺需要几次光刻?每次光刻分别有什么作用?参考答案:需要六次光刻。

第一次光刻--N+隐埋层扩散孔光刻;第二次光刻--P+隔离扩散孔光刻第三次光刻--P型基区扩散孔光刻;第四次光刻--N+发射区扩散孔光刻;第五次光刻--引线接触孔光刻;第六次光刻--金属化内连线光刻掺杂工艺:掺杂的目的是什么?举出两种掺杂方法并比较其优缺点。

参考答案:掺杂的目的是形成特定导电能力的材料区域,包括N型或P型半导体区域和绝缘层,以构成各种器件结构。

1+X集成电路理论复习题与参考答案

1+X集成电路理论复习题与参考答案

1+X集成电路理论复习题与参考答案1、在集成电路中,将掩膜版上的图形位置及几何尺寸转移到光刻胶上的工艺是()。

A、薄膜制备B、光刻C、刻蚀D、金属化答案:B在集成电路中,将掩膜版上的图形位置及几何尺寸转移到光刻胶上的工艺是光刻。

2、晶圆进行扎针测试时,完成晶圆信息的输入后,需要核对()上的信息,确保三者的信息一致。

A、MAP图、探针台界面、晶圆测试随件单B、MAP图、测试机操作界面、晶圆测试随件单C、MAP图、软件版本、晶圆测试随件单D、MAP图、软件检测程序、晶圆测试随件单答案:B3、在制备完好的单晶衬底上,沿其原来晶向,生长一层厚度、导电类型、电阻率及晶格结构都符合要求的新单晶层,该薄膜制备方法是()。

A、外延B、热氧化C、PVDD、CVD答案:A外延是在制备完好的单晶衬底上,沿其原来晶向,生长一层厚度、导电类型、电阻率及晶格结构都符合要求的新单晶层。

4、重力式外观检查是在( )环节之前进行的。

A、编带B、测试C、分选D、真空包装答案:D重力式分选机设备芯片检测工艺流程:上料→测试→分选→编带(SOP)→外观检查→真空包装。

5、使用化学机械抛光进行粗抛时,抛光区域温度- 般控制在()A、38~50°CB、20~50°CC、20~30°CD、20~38°C答案:A一般抛光区的温度控制在38~50°C (粗抛)和20~30°C (精抛)。

6、在版图设计过程中,N-MOS管的源极接(),漏极接(),P-MOS管的源极接(),漏极接()。

A、地、高电位、电源、低电位B、地、高电位、GND、高电位C、地、高电位、GND、低电位D、电源、高电位、GND、低电位答案:C7、若遇到需要编带的芯片,在测试完成后的操作是( )。

A、测试B、上料C、编带D、外观检查答案:C转塔式分选机的操作步骤一般为:上料→测试→编带→外观检查→真空包装。

8、使用测编一体的转塔式分选设备进行芯片测试时,如果遇到需要编带的芯片,在测试完成后的操作是()。

集成电路版图设计习题答案第九章集成电路版图设计实例

集成电路版图设计习题答案第九章集成电路版图设计实例

第9章集成电路版图设计实例【习题答案】1.版图设计关于数字地和模拟地的考虑事项是什么?答:一般的模拟集成电路中,通常既有数字信号又有模拟信号,数字信号和模拟信号之间容易发生干扰。

在版图设计过程中,还要考虑地噪声对电路的影响。

即在整体版图的设计中,需着重考虑电路噪声问题,按照尽量降低噪声的原则进行电路的整体布局。

首先,在总体版图的布局上,尽量将数字部分远离模拟部分,如果总体电路中模拟部分偏多,则在版图设计中将数字部分放在靠边的位置,而且把模拟部分中最容易被数字干扰的部分放到离数字部分最远的位置,同时在数字部分和模拟部分中间用接地的衬底接触来进行隔离,反之亦然。

其次,采用隔离环设计,对每个单元模块都用一层接地的衬底接触,一层接电源的N阱构成的隔离环来进行隔离。

对于整个模拟部分和数字也分别采用相同的隔离环隔离,数字电路的隔离环可以吸收数字电路的衬底噪声,从而可以减少通过衬底串扰到模拟电路的衬底噪声。

隔离环包的层数越多,理论上吸收衬底噪声效果越好。

但是要避免数字电路的p隔离环紧靠模拟电路的p型隔离环,因为在这种情况下数字地的噪声会串扰到模拟地。

从而使模拟地受到干扰。

最后,除了数字模块之外的其它单元模块尽量将距离缩短,这样一方面能尽量地减少互连线经过别的区域引入噪声,同时也能降低引线过长引起电压信号的衰减。

2.总结自己的版图设计技巧和经验。

3. 共质心MOS管设计时的注意事项是什么?答:低精度要求可采用一维共质心,高精度要求必须采用二维共质心。

共质心设计时需保证MO管的对称性和电流通路的对称性。

4. 静电保护的种类以及版图设计注意事项。

答:常用的二极管式的静电保护分为两种方式,一种是用MOS晶体管连接成二极管形式的静电保护,一种利用CMOS工艺中二极管的静电保护。

在MOS型静电保护版图设计中,主要考虑以下几点:●MOS管要分成多个管,叉指结构,以便形成多支路共同放电。

●因为放电瞬间流经MOS管的电流特别大,构成整个放电通路的任何导线的宽度一定要有足够保证,而且CMOS工艺对于每个接触孔能通过的电流密度还有要求,因此还要保证放电通路导线上孔的数目应尽量多。

集成电路期末考试知识点答案

集成电路期末考试知识点答案

集成电路期末考试知识点答案-------------------------------------------1------------------------------------------------1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖?1947贝尔实验室肖克来波拉坦巴丁发明了晶体管1956获诺贝尔奖2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一年为此获得诺贝尔奖?Jack kilby 德州仪器公司1958年发明2000获诺贝尔奖3、什么是晶圆?晶圆的材料是什么?晶圆是指硅半导体集成电路制作所用的硅晶片,材料是硅4、目前主流集成电路设计特征尺寸已经达到多少?预计2016 年能实现量产的特征尺寸是多少?主流0.18um 22nm5、晶圆的度量单位是什么?当前主流晶圆的尺寸是多少?英寸12英寸6、摩尔是哪个公司的创始人?什么是摩尔定律?英特尔芯片上晶体管数每隔18个月增加一倍7、什么是SoC?英文全拼是什么?片上系统 System On Chip8、说出Foundry、Fabless 和Chipless 的中文含义。

代工无生产线无芯片9、一套掩模一般只能生产多少个晶圆?1000个晶圆10、什么是有生产线集成电路设计?电路设计在工艺制造单位内部的设计部门进行11、什么是集成电路的一体化(IDM)实现模式?设计制造和封装都集中在半导体生产厂家内进行12、什么是集成电路的无生产线(Fabless)设计模式?只设计电路而没有生产线13、一个工艺设计文件(PDK)包含哪些内容?器件的SPICE参数、版图设计用的层次定义、设计规则和晶体管电阻电容等器件以及通孔焊盘等基本结构版图,与设计工具关联的设计规则检查、参数提取、版图电路图对照用的文件。

14、设计单位拿到PDK 文件后要做什么工作?利用CAD/EDA工具进行电路设计仿真等一系列操作最终生成以GDS-II格式保存的版图文件,然后发给代工单位。

集成电路总结(附重点知识点参考答案)

集成电路总结(附重点知识点参考答案)

1.集成电路重点知识复习点1.芯片制作过程中主要的工艺有哪些?主要的三项工艺:薄膜制备工艺、光刻/图形转移工艺、掺杂工艺薄膜制备工艺:在晶圆表面生长或淀积数层材质不同,厚度不同的膜层,如器件工作区的外延层,绝缘介质层,金属层等。

该工艺通过常用方法有:外延生长,氧化,淀积。

图形转移工艺:包括掩膜版的制作,涂光刻胶,曝光(光刻),显影,烘干,刻蚀。

电路结构以图形的形式制作在光刻掩膜版上。

然后通过图形转换工艺转移精确转移到硅晶片上。

掺杂工艺:包括扩散工艺和离子注入工艺。

各种杂质按照设计要求掺杂到晶圆上,形成晶体管的源漏端以及欧姆接触等。

2.PN结形成的过程是什么?在纯净的本增半导体中少量掺杂施主杂质,如磷,取代硅原子,就形成了N型半导体。

参与导电的主要是带负电的电子,电子为多数载流子,又称多子。

空穴为少数载流子,又称少子。

在纯净的本增半导体中少量掺杂受主杂质,如硼,取代硅原子,就形成了P型半导体。

因为参与导电的主要是带正电的空穴,空穴为多子。

当P型半导体和N型半导体放在一起之后,多子和少子从浓度高的区域向浓度低的区域扩散,P区留下的不能移动的负离子和N区留下的不能移动的正离子在半导体交界面形成了一个很薄的空间电荷区,又称耗尽层。

这就是PN结。

PN结有内电场,由N区指向P区,内电场阻止多子的扩散运动,促使少子的漂移运动。

最终PN结达到动态平衡。

PN结具有单向导电性,当外加正向电压(P区接正电压)时,PN结处于导通状态,结电阻很小。

当外加负向电压(N区接正电压)时,PN结处于截止状态,结电阻很大。

当反向电压加到一定程度,PN结会击穿二损坏。

3.典型的N阱CMOS的剖面图是什么?4.MOS器件的工作区域有哪些?每个区域中的载流子是如何运作的?以NMOS为例:截止区:Vgate加较小的正电压,外加电场使得正电荷积聚在栅极,同时,空穴被排斥到更为底层的主体的衬底区;当空穴被排斥,在栅极下端的主体的P区表面,只留下带负电的不可移动的离子,耗尽区在栅极下方形成;Vgate进一步加大,更多衬底的少子被吸引到表面,当Vgs=VT时,表面将产生足够的电子,使得主体表面形成一层很薄的N型区,此N型区域中,电子的浓度大于空穴的浓度。

集成电路版图设计习题答案第四章电阻

集成电路版图设计习题答案第四章电阻

第4章电阻【习题答案】1.请解释方块电阻及其使用方块电阻的意义。

答:电阻的阻值可以用方块电阻乘以方块数得到,其中方块电阻与工艺有关,可通过查工艺手册或设计手册得到。

长和宽相等的电阻包含一个方块,其电阻值为一个方块电阻;长是宽两倍的电阻包含两个方块,其电阻值为两个方块电阻。

方块电阻也称为薄层电阻。

对于相同的集成电路工艺,同一材料的方块电阻是相同的。

有了方块电阻的概念,我们就不必再考虑材料的厚度了,只需关心材料的长度和宽度就可以了。

由于版图设计是利用平面作图方法,因此只考虑长和宽对于电阻的版图设计是非常方便的。

2.集成电路中的电阻主要包括(有源电阻)和(无源电阻)。

3.集成电路中的无源电阻主要包括(多晶硅电阻)、(阱电阻)、(有源区电阻)和(金属电阻)。

4.集成电路中电阻的设计依据主要考虑(误差控制)和(电流密度)两方面。

5. 请解释集成电路中电阻的设计依据。

答:电阻的设计依据主要包括两个方面:误差控制和电流密度。

电阻的误差分析:由于制造工艺误差会导致电阻发生变化,而且总电阻应包括体区电阻、头区电阻和接触电阻。

由于芯片制造厂商能够很好地控制体电阻,而对于头区电阻和接触区电阻的控制却并不理想,因此我们希望,对于一个电阻,体电阻应该在总电阻中起到支配作用,即总电阻应远大于头区电阻和接触电阻。

如果一个电阻体材料的长度接近甚至小于头区材料长度和接触区长度,那么将很难控制该电阻的阻值。

关于电阻尺寸的经验法则为:体区材料的长度至少应为光刻和刻蚀工艺误差的100倍,宽度至少应该为光刻和刻蚀工艺的50倍。

如果需要进一步提高精度,那么长和宽还应该增加,因为线宽控制是不变的,长和宽的增加会提高精度。

电流密度也是电阻设计的一个重要依据。

在这里,电流密度指的是电阻中能够安全可靠通过的电流。

当电阻通过低于电流密度的电流时,电阻能够长期稳定地工作。

在集成电路中电阻的电流密度是比较保守的,可靠性系数通常要达到数万个小时。

有关电流密度的经验法则为:每微米宽度电阻的电流密度为0.5mA。

1_1_集成电路设计复习提纲+答案

1_1_集成电路设计复习提纲+答案

1. Please give out the general overview of the VLSI design hierarchy.1.请提供VLSI设计层次结构的一般概述。

图1.2书P22. Please give out the general VLSI design flow.2. 请给出一般的VLSI设计流程。

图1.3 p4书3. Consider the 2-input XOR function a b⊕. 2.6(a) Design an XOR gate using a 4:1 MUX.(b) Modify the circuit in (a) to produce a 2-input XNOR.(c) A full adder accepts inputs a, b, and c and calculates the sum bit ⊕⊕.Use your MUX-based gates to design a circuit with this a b coutput.4. An AOAI logic gate is described by the schematic in Figure 1. 2.12(a) Construct the nFET array using the logic diagram.(b) Apply bubble pushing to obtain the pFET logic. Use the diagram to construct the pFET array using the pFET rules.Figure 15. Use equations 1,()()n n n ox n G Tn WR C V V Lβμβ==-, for n R to find theunits of the electron mobility n μ. Then suppose that n μ=500 cm 2/V-sec and ()(3.30.7)G Tn V V V -=- is known.(a) Find the nFET resistance if W =10 μm, L =0.5 μm, and t o x =10 nm. (b) Find n R if the channel width is increased to a value of W =22 μm while the channel length remains the same. 3.116. Please calculate the midpoint voltage in VTC of NAND2.7.4?Figure 2 NAND2 logic circuit7. Consider a complex CMOS logic gate that implements the function:F a b c d e =⋅+⋅⋅. (a) Design the logic circuit. (b) An inverter with n p ββ=is used as a sizing reference. Find the device sizes in the gate if we choose to equalize the nFET and pFET resistances.7.118. Consider a process that has an oxide thickness of t o x=9.5 nm. The particle mobilities are given as μn=540 and 220 cm2/V-sec. An nFET and a pFET are made, both with W=12 μm, L=0.35 μm. Both have gate voltages of V G=3.3 V, while the threshold voltages are V Tn=0.65V and V Tp=-0.74 V. (a) Find the values of R n and R p for the two transistors.(b) Suppose that we want to keep the nFET the same size, but increase the width of the pFET to the point where R p=0.8 R n. Find the required width of the pFET.3.139. Consider the logic cascade shown in Figure 3. Use Logical Effort to find the relative size of each stage needed to minimize delay through the chain. Assume symmetric gates with r=2.5.8.8Figure 310. Please calculate the midpoint voltage in VTC of NOR2.Figure 4 NOR2 circuit11. P lease give out the output function and the CMOS circuit design of Figure 5.书p263 多米诺逻辑Figure 5F=a·b·c12. The logic chain in Figure 6 is constructed in a process with r=2.5. Determine the optimum sizing for each stage for the “highlighted” path indicated using the technique of Logical Effort. 8.9Figure 613. Write a Verilog description of the NAND latch in Figure 7. Includea time delay of 2 units for each NAND gate.10.3Figure 714. Construct the Verilog module for the logic network shown in Figure 8. Assume that the NOT gates have a time delay of 1 unit, while the AND2 gates have a delay of 2 units.10.4Figure 8。

(完整版)集成电路设计复习题及解答

(完整版)集成电路设计复习题及解答

集成电路设计复习题绪论1.画出集成电路设计与制造的主要流程框架。

2.集成电路分类情况如何?集成电路设计1.层次化、结构化设计概念,集成电路设计域和设计层次2.什么是集成电路设计?集成电路设计流程。

(三个设计步骤:系统功能设计逻辑和电路设计版图设计)3.模拟电路和数字电路设计各自的特点和流程4.版图验证和检查包括哪些内容?如何实现?5.版图设计规则的概念,主要内容以及表示方法。

为什么需要指定版图设计规则?6.集成电路设计方法分类?(全定制、半定制、PLD)7.标准单元/门阵列的概念,优点/缺点,设计流程8.PLD设计方法的特点,FPGA/CPLD的概念9.试述门阵列和标准单元设计方法的概念和它们之间的异同点。

10.标准单元库中的单元的主要描述形式有哪些?分别在IC设计的什么阶段应用?11.集成电路的可测性设计是指什么?Soc设计复习题1.什么是SoC?2.SoC设计的发展趋势及面临的挑战?3.SoC设计的特点?4.SoC设计与传统的ASIC设计最大的不同是什么?5.什么是软硬件协同设计?6.常用的可测性设计方法有哪些?7. IP的基本概念和IP分类8.什么是可综合RTL代码?9.么是同步电路,什么是异步电路,各有什么特点?10.逻辑综合的概念。

11.什么是触发器的建立时间(Setup Time),试画图进行说明。

12.什么是触发器的保持时间(Hold Time),试画图进行说明。

13. 什么是验证,什么是测试,两者有何区别?14.试画图简要说明扫描测试原理。

绪论1、 画出集成电路设计与制造的主要流程框架。

2、集成电路分类情况如何?集成电路设计1. 层次化、结构化设计概念,集成电路设计域和设计层次分层分级设计和模块化设计.将一个复杂的集成电路系统的设计问题分解为复杂性较低的设计级别,⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎨⎧⎪⎪⎪⎪⎩⎪⎪⎪⎪⎨⎧⎩⎨⎧⎩⎨⎧⎪⎪⎪⎪⎩⎪⎪⎪⎪⎨⎧⎪⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎪⎨⎧⎩⎨⎧⎪⎪⎪⎪⎩⎪⎪⎪⎪⎨⎧⎩⎨⎧⎪⎩⎪⎨⎧按应用领域分类数字模拟混合电路非线性电路线性电路模拟电路时序逻辑电路组合逻辑电路数字电路按功能分类GSI ULSI VLSI LSI MSI SSI 按规模分类薄膜混合集成电路厚膜混合集成电路混合集成电路B iCMOS B iMOS 型B iMOS CMOS NMOS PMOS 型MOS双极型单片集成电路按结构分类集成电路这个级别可以再分解到复杂性更低的设计级别;这样的分解一直继续到使最终的设计级别的复杂性足够低,也就是说,能相当容易地由这一级设计出的单元逐级组织起复杂的系统。

版图设计复习资料3.0

版图设计复习资料3.0

复习课笔记1:什么是集成电路版图设计(概念)(6分)所谓集成电路版图设计是根据逻辑与电路功能和性能要求以及工艺水平要求来设计芯片制造时光刻工序用的掩膜版图,实现IC设计的最终输出。

其中版图是一组相互套合的图形,各层版图相应于不同的工艺步骤,每一层版图用不同的图案来表示。

2:晶体管的发明:1947年,贝尔实验室,肖特莱。

中国在80年代,集成电路才开始起步。

3:集成电路工艺指标:(1):特征尺寸,指工厂可以加工的晶体管的最小尺寸(栅宽)。

(2):集成度(期末相关),小规模(SLSI),中规模(MSI),大规模(LSI),超大规模(VLSI),特大规模(ULSI),巨大规模(GSI)4:晶圆尺寸:8寸(200);12寸(300mm)。

5:摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍。

(IC的集成度每18个月翻一番)。

6:集成电路分类:(1)按功能来分:以门电路为基础的数字逻辑电路以放大器为基础的线性电路(2)按晶体管分:MOS场效应晶体管TTL双极型集成电路7:PN结具有单向导电性。

8:MOS靠电压导电。

9:光刻工艺过程:(划重点,要考)光刻工艺流程:清洁处理、涂胶、前烘、曝光及显影、坚膜、腐蚀、去胶。

10:栅极PMOS高电平导通是错的。

11:Fab:???Fabless(无晶圆厂):只专注于芯片设计的IC设计公司。

Foundry(晶圆厂):专门负责生产制造芯片的厂家。

IDM:指从设计,制造,封装测试到销售自有品牌IC都一手包办的半导体垂直整合型公司。

12:国内开发EDA的公司:华大九天。

13:LSW:AV——All Visible:下方的所有图层在编辑区域都可见;NV——Not Visible:下方的所有图层在编辑区域都不可见;AS——All Selectable:下方所有的图层在编辑区中都可以被选择;NS——Not Selectable:下方所有的图层在编辑区中都不可以被选择。

集成电路复习总结

集成电路复习总结

集成电路复习总结第一篇:集成电路复习总结1、中英名词解释(1)IC(Integrated Circuit):集成电路,是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容、电感等无源器件,按照一定的电路互联,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的一种器件。

(2)摩尔定律(Moore's Law):芯片上晶体管数目每隔18个月翻一番或每三年翻两番,性能也会增加一倍。

(3)SOC(system on chip):在一个微电子芯片上将信息的采集、传输、存储、处理等功能集成在一起而构成系统芯片。

(4)EDA(Electronic-System Design Automation):电子设计自动化(5)能带:能量越高的能级,分裂的能级越多,分裂的能级也就相邻越近,这些邻近的能级看起来就像连续分布,这样的多条相邻近的能级被称为能带(6)本征半导体:是一种完全纯净的、结构完整的半导体晶体。

(经过一定的工艺过程将纯净的半导体制成的单晶体称为本征半导体。

导带中的自由电子与价带中的空穴都能参与导电。

)(7)肖特基接触:金属与半导体接触并且金属的费米能级低于N 型半导体或高于P型半导体的费米能级,这种接触为肖特基接触。

(8)MESFET:(Metal-Semiconductor Filed Effect Transistor),即金属-半导体场效应晶体管(9)Spice(Simulation Program with Integrated Circuit Emphasis):集成电路仿真程序,主要用来在电路硬件实现之前读电路进行仿真分析。

(10)FPGA(Filed Programmable Gate Array):现场可编程门阵列。

(又称逻辑单元阵列,Logic Cell A)(11)IP(Intellectual Property):知识产权。

(完整版)二篇4章2浙大版集成电路课后答案

(完整版)二篇4章2浙大版集成电路课后答案

题2.4.18 试用负边沿JK 触发器和“与-或-非”门构成一个四位数码并行寄存和一个四位数码串行输入右移移位寄存器。

解:令C 是并行寄存数据和实现右向移位操作的控制端,其用JK 触发器构成的框图如图所示:令C=1并行存数,C=0时为右移串入后,得出各组合电路的逻辑函数,现以1J 3和1K 3函数为例,列出真值表,求出函数式,其它式子也照此类推。

输 入 输 出 C Q 2 D 3 1J 3 1K 30 0 0 0 1 0 0 1 0 1 0 1 0 1 0 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 0 1 1 1 11 0233311Q C CD K J +==122211Q C CD K J +==011111Q C CD K J +==SRD C CD K J +==00011由四个函数式画出的电路图如图所示:题2.4.19 图题2.4.19是一个实现串行加法的电路图,被加数11011及加数10111已分别存入二个五位被加数和加数移位寄存器中。

试分析并画出在六个时钟脉冲作用下全加器输出S i 端、进位触发器Q 端以及和数移位寄存器中左边第一位寄存单元的输出波形(要求时间一一对应)。

1D 2D 3DFF3 FF2 FF1 FF0 SR D 1J C1 1K 组合 逻辑电路 1JC1 1K 组合 逻辑电路1J C1 1K 组合 逻辑电路 1J C1 1K 组合 逻辑电路 CP0D0Q 1Q 2Q 3Q C D 1 D S1J QC11K11J Q C11K11J Q C11K11J Q C11K1CPC Q 3Q 2Q 1Q 0D 3 D 2 D 0≥1 &≥1 &≥1 &≥1 &图题2.4.19解:解该题时,注意全加器是一个合逻辑电路,而移位寄存器和触发器是一个时序电路,要注意时序关系。

其波形如图:题2.4.20 (1)试分析图题2.4.20(a)、(b)所示计数器的模是多少?采用什么编码进行计数?(2)若计数脉冲频率f CP 为700Hz 时,从Q 2端、Q 0端输出时的频率各为多少?图题2.4.20解:分析计数器电路有多种方法,列表法:以CP 为顺序,依次列出触发器的初态、输入,和次态,可以得出结论。

1+X集成电路理论复习题与答案

1+X集成电路理论复习题与答案

1+X集成电路理论复习题与答案一、单选题(共40题,每题1分,共40分)1、平移式分选机设备测试环节的流程是:( )。

A、吸取、搬运芯片→入料梭转移芯片→压测→记录测试结果→搬运、吹放芯片B、入料梭转移芯片→吸取、搬运芯片→压测→记录测试结果→搬运、吹放芯片C、入料梭转移芯片→搬运、吹放芯片→压测→记录测试结果→吸取、搬运芯片D、搬运、吹放芯片→入料梭转移芯片→吸取、搬运芯片→压测→记录测试结果正确答案:B答案解析:平移式分选机设备测试环节的流程是:入料梭转移芯片→吸取、搬运芯片→压测→记录测试结果→搬运、吹放芯片。

2、金属钨在集成电路中通常用于()。

A、填充塞B、金属连线C、阻挡层D、焊接层正确答案:A答案解析:金属钨在集成电路中通常用于钨填充塞。

3、双极型与单极型集成电路在性能上的主要差别是()。

A、双极型器件工作频率高、功耗大、温度特性好、输入电阻大,而单极型器件正好相反B、双极型器件工作频率高、功耗低、温度特性好、输入电阻小,而单极型器件正好相反C、双极型器件工作频率高、功耗大、温度特性差、输入电阻小,而单极型器件正好相反D、双极型器件工作频率低、功耗大、温度特性好、输入电阻小,而单极型器件正好相反正确答案:C4、芯片检测工艺过程中一般有拼零操作,下面对拼零描述正确的是()。

A、一个内盒中最多有三个印章号B、每次拼零时可以对多个产品进行操作C、零头电路不需要进行检查D、拼零时遵循“先入先出”的原则正确答案:D5、晶圆切割的作用是()。

A、对晶圆边缘进行修正B、将完整的晶圆分割成单独的晶粒C、在完整的晶圆上划出切割道的痕迹,方便后续晶粒的分离D、切除电气性能不良的晶粒正确答案:B答案解析:晶圆切割将整片晶圆切割成一颗颗独立的晶粒,用于后续集成电路的制造。

6、打开安装好的keil软件,点击工具栏“魔术棒”按钮,点击()选项,选择目标芯片。

A、TargetB、C/C++C、DebugD、Device正确答案:D7、()是指按照一定的方式将杂质掺入到半导体等材料中,改变材料电学性质,达到形成半导体器件的目的。

集成电路版图设计习题答案第二章集成电路制造工艺

集成电路版图设计习题答案第二章集成电路制造工艺

集成电路版图设计习题答案第2章 集成电路制造工艺【习题答案】1.硅片制备主要包括(直拉法)、(磁控直拉法)和(悬浮区熔法)等三种方法。

2.简述外延工艺的用途。

答:外延工艺的应用很多。

外延硅片可以用来制作双极型晶体管,衬底为重掺杂的硅单晶(n +),在衬底上外延十几个微米的低掺杂的外延层(n ),双极型晶体管(NPN )制作在外延层上,其中b 为基极,e 为发射极,c 为集电极。

在外延硅片上制作双极型晶体管具有高的集电结电压,低的集电极串联电阻,性能优良。

使用外延硅片可以解决增大功率和提高频率对集电区电阻要求上的矛盾。

图 外延硅片上的双极型晶体管集成电路制造中,各元件之间必须进行电学隔离。

利用外延技术的PN 结隔离是早期双极型集成电路常采用的电隔离方法。

利用外延硅片制备CMOS 集成电路芯片可以避免闩锁效应,避免硅表面氧化物的淀积,而且硅片表面更光滑,损伤小,芯片成品率高。

外延工艺已经成为超大规模CMOS 集成电路中的标准工艺。

3.简述二氧化硅薄膜在集成电路中的用途。

答:二氧化硅是集成电路工艺中使用最多的介质薄膜,其在集成电路中的应用也非常广泛。

二氧化硅薄膜的作用包括:器件的组成部分、离子注入掩蔽膜、金属互连层之间的绝缘介质、隔离工艺中的绝缘介质、钝化保护膜。

4.为什么氧化工艺通常采用干氧、湿氧相结合的方式?答:干氧氧化就是将干燥纯净的氧气直接通入到高温反应炉内,氧气与硅表面的原子反应生成二氧化硅。

其特点:二氧化硅结构致密、均匀性和重复性好、针孔密度小、掩蔽能力强、与光刻胶粘附良好不易脱胶;生长速率慢、易龟裂不宜生长厚的二氧化硅。

湿氧氧化就是使氧气先通过加热的高纯去离子水(95℃),氧气中携带一定量的水汽,使氧化气氛既含有氧,又含有水汽。

因此湿氧氧化兼有干氧氧化和en +SiO 2n -Si 外延层 n +Si 衬底水汽氧化的作用,氧化速率和二氧化硅质量介于二者之间。

实际热氧化工艺通常采用干、湿氧交替的方式进行。

集成电路版图设计习题答案第一章半导体器件理论基础

集成电路版图设计习题答案第一章半导体器件理论基础

集成电路版图设计习题答案第1章半导体器件理论基础【习题答案】1.如何理解本征半导体和掺杂半导体材料的导电机理。

答:本征半导体就是一块没有杂质和缺陷的半导体,其晶格结构是完美的,在其内部除了硅原子外没有其它任何原子,因此是纯净的。

在绝对零度附近,本征半导体的共价键是完整的、饱和的,无本征激发,自然没有电子和空穴;当温度升高时,本征激发过程产生了电子和空穴,这些本征载流子的浓度虽然很低,但仍然可以导电。

在杂质半导体材料中,由于掺入杂质的数量远大于硅的本征载流子浓度,因此这些半导体材料的导电性不是由本征激发产生的载流子决定,而是受控于材料中所掺入的杂质(包括杂质的数量和类型)。

在半导体中可以掺入各种各样的杂质,但为了更好的控制半导体材料的导电性,通常掺入元素周期表中的III、V族元素。

杂质半导体的导电能力通常高于本征半导体。

2.如何理解空穴的导电机理。

答:空穴的导电作用如下图所示。

在下图中,位置(1)有一个空穴,它附近的价键上的电子就可以过来填补这个空位,例如从位置(2)跑一个价键电子到位置(1)去,但在位置(2)却留下了一个空位,相当于空穴从位置(1)移动到位置(2)去了。

同样,如果从位置(3)又跑一个电子到位置(2)去,空穴就又从位置(2)跑到位置(3),……。

如果用虚线箭头代表空穴移动的方向,实线箭头代表价键电子移动的方向,就可以看出,空穴的移动可以等效于价键电子在相反方向的移动。

图空穴的导电作用3.简述PN结的结构与导电特性。

答:在一块半导体材料中,如果一部分是N型区,另一部分是P型区,那么在N型区和P型区的交界面处就形成了PN结(简称为结)。

当P型区和N型区相接触时,一些空穴就从P型区扩散到N型区中。

同样,一些电子也从N型中扩散到P型区中。

扩散的结果是在N型区和P型区的交界面处的两侧形成了带正、负电荷的区域,称为空间电荷区。

在空间电荷区内由于存在正负离子将形成电场,这个电场称为自建电场,电场的方向从N型区指向P型区。

(完整word版)集成电路CAD复习例题+解析

(完整word版)集成电路CAD复习例题+解析

1、 3-8 译码器Index 方式module decoder_index (in1, out1);parameter N = 8;parameter log2N = 3;input [log2N-1:0] in1;output [N-1:0] out1;reg [N-1:0] out1;always @(in1)beginout1 = 0;out1[in1] = 1 ’ b1;endEndmoduleLOOP方式module decoder38_loop (in1, out1);parameter N = 8;parameter log2N = 3;input [log2N-1:0] in1;output [N-1:0] out1;reg [N-1:0] out1;integer i;always @(in1)beginfor(i=0;i<N;i=i+1)out1[i] = (in1 == i);end2、 8-3 优先编码器module priority_low_high (A, P);parameter N = 8;parameter log2N = 3;input [0:N-1] A; //Input Vectoroutput [log2N-1:0] P; // High Priority Indexreg [log2N-1:0] P;function [log2N-1:0] priority;input [0:N-1] A;integer I;beginpriority = 3 ’ b0;for (I=0; I<N; I=I+1)if (A[I])priority = 7-I;// Override previous indexendendfunctionalways @(A)P <= priority(A);endmodule另一种:module code8_3(in,out)input [7:0] in; output [2:0] out; wire [7:0] in; reg [2:0] out; always @(in) begin if(in[7]==1) out=3 ’ 111;else if(in[6]==1) out=3’ b110; else if(in[5]==1) out=3’ 101;else if(in[4]==1) out=3’ b100;else if(in[3]==1) out=3’b011;else if(in[2]==1) out=3’ b010; else if(in[1]==1) out=3’ b001;else if(in[0]==1) out=3’ b000;default:out=3 ’bx; endendmodule3、奇偶校验位产生器module parity(even_bit,odd_bit,input_bus);output even_bit,odd_bit;input[7:0] input_bus;assign even_bit = ^ input_bus; assign odd_bit = ~ even_bit;// 产生偶校验位// 产生奇校验位endmodule4、 4 选 1 数据选择器第一种方法module mux(d1,d2,d3,d4,se1,se2,dout); input d1;input d2;input d3;input d4;input se1;input se2;output dout;reg dout;always @ (d1 or d2 or d3 or d4 or se1 or se2) case({se1,se2})2'b00 : dout=d1;2'b01 : dout=d2;2'b10 : dout=d3;2'b11 : dout=d4;endcaseendmodule第二种方法module mux(d1,d2,d3,d4,se1,se2,dout); input d1;input d2;input d3;input d4;input se1;input se2;output dout;reg dout;always @ (d1 or d2 or d3 or d4 or se1 or se2) if (!se1)beginif (!se2)dout = d1;elsedout = d2;endelse beginif (!se2)dout = d3;elsedout = d4;endendmodule第三种方法module se(d1,d2,d3,d4,se1,se2,dout);input d1;input d2;input d3;input d4;input se1;input se2;output dout;reg dout;always @ (d1 or d2 or d3 or d4 or se1 or se2)assign dout=se1?se2?d4:d3:se2?d2:d1;endmodule第四种方法module mux4_1(out,in0,in1,in2,in3,sel);output out;input in0,in1,in2,in3;input[1:0] sel;reg out;always @(in0 or in1 or in2 or in3 or sel) //敏感信号列表case(sel)2'b00: out=in0;2'b01: out=in1;2'b10: out=in2;2'b11: out=in3;default: out=2'bx;endcaseendmodule5、 4位全加器及 4位全加器的仿真程序module adder4(cout,sum,ina,inb,cin);output[3:0] sum;output cout;input[3:0] ina,inb;input cin;assign {cout,sum}=ina+inb+cin;Endmodule第二种:module adder_4bit(s,co,a,b,ci);//4位全加器// output[3:0] s;output co;input[3:0] a,b;input ci;f_adder f0(a[0],b[0],ci,s[0],ci1);f_adder f1(a[1],b[1],ci1,s[1],ci2);f_adder f2(a[2],b[2],ci2,s[2],ci3);f_adder f3(a[3],b[3],ci3,s[3],co);endmodulemodule f_adder(ain,bin,cin,sum,cout);//1位全加器// output sum,cout;input ain,bin,cin;wire d,e,f;h_adder(ain,bin,e,d);h_adder(e,cin,sum,f);or(cout,d,f);endmodulemodule h_adder(a,b,so,co);// 半加器// input a,b;output so,co;assign so = a ^ b;assign co = a & b;Endmodule4 位全加器的仿真程序`timescale 1ns/1ns`include "adder4.v"module adder_tp; reg[3:0] a,b;// 测试模块的名字// 测试输入信号定义为reg 型reg cin;wire[3:0] sum;// 测试输出信号定义为wire型wire cout;integer i,j;adder4 adder(sum,cout,a,b,cin);// 调用测试对象always #cin=~cin;//设定cin 的取值initialbegina=0;b=0;cin=0;for(i=1;i<16;i=i+1)#10a=i;// 设定 a 的取值endinitialbeginfor(j=1;j<16;j=j+1)#10b=j;//设定b的取值endinitial// 定义结果显示格式begin$monitor($time,,,"%d + %d + %b={%b,%d}",a,b,cin,cout,sum);#160 $finish;endendmodule6、带同步清0、同步置 1 的 D 触发器module d_ff_1(CLK, RSTn, clr, D, Q, en);input CLK,RSTn,clr;input D,en;output Q;reg Q;always@(posedge CLK)beginif(~RSTn)beginQ <= 1'b1;endelse beginif(clr)begin Q <= 1'b1;endelse beginif(en) beginQ <= D end else; beginQ <= Q;endendendendmodule7、带异步清0、异步置 1 的 JK 触发器module JK_FF(CLK,J,K,Q,RS,SET);input CLK,J,K,SET,RS; output Q; reg Q;always @(posedge CLK or negedge RS or negedge SET)beginif(!RS) Q <= 1'b0;else if(!SET) Q <= 1'b1;else case({J,K})2'b00 : Q <= Q;2'b01 : Q <= 1'b0;2'b10 : Q <= 1'b1;2'b11 : Q <= ~Q;default: Q<= 1'bx;endcaseendendmodule8、 8 位数据锁存器module latch_8(qout,data,clk);output[7:0] qout;input[7:0] data;input clk;reg[7:0] qout;always @(clk or data)beginif(clk)qout<=data;endendmodule9、同步置数、同步清零的8 位二进制计数器module count(out,data,load,reset,clk);output[7:0] out;input[7:0] data;input load,clk,reset;reg[7:0] out;always @(posedge clk)//clk上涨沿触发beginif (!reset)out = 8'h00;// 同步清 0,低电平有效else if (load)out = data;// 同步预置elseout = out + 1;// 计数endendmodule10、异步清零、同步置数的 1 位十进制计数器module count10(out,data,load,reset,clk);output[3:0] out;input[3:0] data;input load,clk,reset;reg[3:0] out;always @(posedge clk or negedge reset)//clk上涨沿触发beginif (!reset)out = 8'h00;// 同步清 0,低电平有效else if (load)out = data;// 同步预置else if (out < 9)out = out + 1;//计数elseout = 0;endendmodule11、 4 位串并变换器module pal_serial_4(// 四位并串变换程序clk,rst,load,din,dout);input clk,rst,load;input[3:0] din;output dout;reg[3:0] databuff;always @ (posedge clk or negedge rst or posedge load)beginif(!rst) databuff<=4'b0;else if(load) databuff<=din;else //databuff<={databuff[2:0],1'b0};databuff<=databuff<<1;//将存放器内的值左移,挨次读出endassign dout=databuff[3];endmodulemodule serial_pal_4(//四位串并变换程序clk,en,rst,cin,cout);input cin,clk,en,rst;output[3:0] cout;reg[3:0] cout;always @ (posedge clk or negedge rst)beginif(!rst) cout<=4'b0;else if(en)cout<={cout[2:0],cin};else cout<=cout;endendmodule另一种:module shift(nreset,clk,en,in,out);input nreset,clk,en,in;output [3:0] out;reg [1:0] count;// 移位计数,控制并行数据更新,这里是4bit 并行数据reg [3:0] data;reg [3:0] out;always@(posedge clk or negedge nreset)beginif(~nreset)count <= 2'b00;else if(en)count <= count + 2'b01;endalways@(posedge clk or negedge nreset)beginif(~nreset)data <= 4'b0000;eale if(en)data <= {data[2:0],in};end//并行输出always@(posedge clk or negedge nreset)beginif(~nreset)out <= 4'b0000;else if(en && (count==2'b11))out <= data;endendmodule12、模为 60 的 BCD 码加法计数器module count60(qout,cout,data,load,cin,reset,clk);output[7:0] qout;output cout;input[7:0] data;input load,cin,clk,reset;reg[7:0] qout;endmodule//clk上涨沿时辰计数always @(posedge clk)beginif (reset)qout<=0;//同步复位elseif(load)qout<=data;// 同步置数elseif(cin)beginif(qout[3:0]==9)// 低位能否为9,是则beginqout[3:0]<=0;// 回0,并判断高位能否为5 if (qout[7:4]==5)qout[7:4]<=0;elseqout[7:4]<=qout[7:4]+1;// 高位不为5,则加1 endelse//低位不为9,则加1 qout[3:0]<=qout[3:0]+1;endendendassign cout=((qout==8‘ h9)&cin)?1:0;// 产生进位输出信号endmodule13、 BCD 码—七段数码管显示译码器module decode47(a,b,c,d,e,f,g,D3,D2,D1,D0);output a,b,c,d,e,f,g;input D3,D2,D1,D0;// 输入的 4 位BCD码reg a,b,c,d,e,f,g;always @(D3 or D2 or D1 or D0)begincase({D3,D2,D1,D0})//用case语句进行译码4'd0: {a,b,c,d,e,f,g}=7'b1111110;4'd1: {a,b,c,d,e,f,g}=7'b0110000;4'd2: {a,b,c,d,e,f,g}=7'b1101101;4'd3: {a,b,c,d,e,f,g}=7'b1111001;4'd4: {a,b,c,d,e,f,g}=7'b0110011;4'd5: {a,b,c,d,e,f,g}=7'b1011011;4'd6: {a,b,c,d,e,f,g}=7'b1011111;4'd7: {a,b,c,d,e,f,g}=7'b1110000;4'd8: {a,b,c,d,e,f,g}=7'b1111111;4'd9: {a,b,c,d,e,f,g}=7'b1111011;default: {a,b,c,d,e,f,g}=7'bx;endcaseendendmodule14、七人投票表决器, 4 人赞同即为经过,反之不经过。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1、描述集成电路工艺技术水平的五个技术指标及其物理含义⑴集成度(Integration Level):以一个IC芯片所包含的元件(晶体管或门/数)来衡量,(包括有源和无源元件)。

⑵特征尺寸 (Feature Size) /(Critical Dimension):特征尺寸定义为器件中最小线条宽度(对MOS器件而言,通常指器件栅电极所决定的沟道几何长度),也可定义为最小线条宽度与线条间距之和的一半。

⑶晶片直径(Wafer Diameter):当前的主流晶圆的尺寸为12吋(300mm),正在向18吋(450mm)晶圆迈进。

⑷芯片面积(Chip Area):随着集成度的提高,每芯片所包含的晶体管数不断增多,平均芯片面积也随之增大。

⑸封装(Package):指把硅片上的电路管脚,用导线接引到外部接头处,以便于其它器件连接。

封装形式是指安装半导体集成电路芯片用的外壳。

2、简述集成电路发展的摩尔定律。

2集成电路芯片的集成度每三年提高4倍,而加工特征尺寸缩小倍,这就是摩尔定律。

当价格不变时,集成电路上可容纳的晶体管数目,约每隔18个月便会增加一倍,性能也将提升一倍3、集成电路常用的材料有哪些?集成电路中常用的材料有三类:半导体材料,如Si、Ge、GaAs 以及InP 等;绝缘体材料,如SiO2、SiON 和Si3N4 等;金属材料,如铝、金、钨以及铜等。

4、集成电路按工艺器件类型和结构形式分为哪几类,各有什么特点。

双极集成电路:主要由双极晶体管构成(NPN型双极集成电路、PNP型双极集成电路)。

优点是速度高、驱动能力强,缺点是功耗较大、集成度较低。

CMOS集成电路:主要由NMOS、PMOS构成CMOS电路,功耗低、集成度高,随着特征尺寸的缩小,速度也可以很高。

BiCMOS集成电路:同时包括双极和CMOS晶体管的集成电路为BiCMOS集成电路,综合了双极和CMOS器件两者的优点,但制作工艺复杂。

5、解释基本概念: 微电子、集成电路、集成度、场区、有源区、阱、外延微电子:微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。

微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和。

微电子学是研究在固体(主要是半导体)材料上构成的微小型化电路、电路及微电子系统的电子学分支。

集成电路:通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能。

集成度:集成电路的集成度是指单块芯片上所容纳的元件数目。

集成度越高,所容纳的元件数目越多。

场区:在微电子学中,场区是指一种很厚的氧化层,位于芯片上不做晶体管、电极接触的区域,可以起到隔离晶体管的作用。

有源区和场区是互补的,晶体管做在有源区处,金属和多晶硅连线多做在场区上。

有源区:硅片上做有源器件的区域。

(就是有些阱区。

或者说是采用STI等隔离技术,隔离开的区域)。

业内通俗的把有后续杂质注入的地方就都叫做有源区。

阱:CMOS集成电路制造的过程中制备的第一层。

如果在N型衬底上扩散P型区,就叫做P阱区;如果在P型衬底上扩散N型区,就叫做N阱区;外延:外延是半导体工艺当中的一种。

在bipolar工艺中,硅片最底层是P型衬底硅(有的加点埋层);在单晶衬底(基片)上生长一层有一定要求的、与衬底晶向相同的单晶层,犹如原来的晶体向外延伸了一段,称外延层。

6、解释一些英文缩写词: IC、VLSI、ULSI、CMP、CVD、LPCVD、RIE、SOI、ERC、DRC、EXT等IC(Integrated Circuit)集成电路VLSI(Very-Large-Scale Integration)超大规模集成电路ULSI(Ultra-Large-Scale Integration)特大规模集成电路CMP(Chemical Mechanical polishing)化学机械平坦化CVD (Chemical Vapor Deposition)化学汽相淀积LPCVD (Low Pressure Chemical Vapor Deposition)低压力化学气相沉积法RIE(Reactive Ion Etching)反应离子刻蚀ICP( Inductively-Coupled Plasma) 电感耦合等离子刻蚀SOI(Silicon-On-Insulator)绝缘衬底上的硅ERC( Electrical Rules Check )电气规则检查DRC (design rule check)设计规则检查EXT(版图提取程序)7、集成电路工艺(integrated circuit technology)是把电路所需要的晶体管、二极管、电阻器和电容器等元件用一定工艺方式制作在一小块硅片、玻璃或陶瓷衬底上,再用适当的工艺进行互连,然后封装在一个管壳内,使整个电路的体积大大缩小,引出线和焊接点的数目也大为减少。

集成的设想出现在50年代末和60年代初,是采用硅平面技术和薄膜与厚膜技术来实现的。

电子集成技术按工艺方法分为以硅平面工艺为基础的单片集成电路、以薄膜技术为基础的薄膜集成电路和以丝网印刷技术为基础的厚膜集成电路。

8、集成电路工艺方法分为:双极性工艺、CMOS工艺、BICMOS工艺9、集成电路制造流程集成电路的制造过程:设计工艺加工测试封装其中工艺加工的步骤是:1.硅片准备 2.由氧化、淀积、离子注入或蒸发形成新的薄层或膜层3.曝光4.刻蚀5.用掩膜板重复2~4步骤20~30次10、掺杂:根据设计的需要,将各种杂质掺杂在需要的位置上,形成晶体管、接触等11、制膜:制作各种材料的薄膜12、光刻三要素:光刻胶、掩膜版和光刻机光刻胶又叫光致抗蚀剂,它是由光敏化合物、基体树脂和有机溶剂等混合而成的胶状液体光刻胶受到特定波长光线的作用后,导致其化学结构发生变化,使光刻胶在某些特定溶液中的溶解特性改变。

正胶:曝光后可溶负胶:曝光后不可溶13、正胶:分辨率高,在超大规模集成电路工艺中,一般只采用正胶14、负胶:分辨率差,适用于加工线宽大于等于3um的线条15、(a)几种常见的光刻方法接触式光刻:分辨率较高,但是容易造成掩模版和光刻胶膜的损伤。

接近式曝光:在硅片和掩膜版之间有一个很小的间隙(10~25um),可以大大减小掩膜版的损伤,分辨率较低。

投影式曝光:利用透镜或反射镜将掩膜版上的图形投影到衬底上的曝光方法,目前用的最多的曝光方式(b)对光刻的基本要求:(1)高分辨率(2)高灵敏度(3)精密的套刻对准(4)大尺寸硅片上的加工(5)低缺陷16、超细线条光刻技术极远、甚远紫外线(EUV)(very ultraviolet lithography)(22-15nm)电子束光刻(Electron beam lithography)X射线(X ray lithography)离子束光刻(Ion beam lithography)17、刻蚀技术(etching technique):是在半导体工艺中,按照掩模图形或者设计要求对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离的技术18、湿法刻蚀:利用液态化学试剂或溶液通过化学反应进行刻蚀的方法湿法腐蚀:湿法化学刻蚀在半导体工艺中有着广泛应用:磨片、抛光、清洗、腐蚀优点是选择性好、重复性好、生产效率高、设备简单、成本低缺点是钻蚀严重、对图形的控制性较差19、干法刻蚀:主要指利用低压放电产生的等离子体中的离子或游离基(处于激发态的分子、原子及各种原子基团等)与材料发生化学反应或通过轰击等物理作用而达到刻蚀的目的溅射与离子束铣蚀:通过高能惰性气体离子的物理轰击作用刻蚀,各向异性性好,但选择性较差等离子刻蚀(Plas ma Etching):利用放电产生的游离基与材料发生化学反应,形成挥发物,实现刻蚀。

选择性好、对衬底损伤较小,但各向异性较差反应离子刻蚀(Reactive Ion Etching,简称为RIE):通过活性离子对衬底的物理轰击和化学反应双重作用刻蚀。

具有溅射刻蚀和等离子刻蚀两者的优点,同时兼有各向异性和选择性好的优点。

目前,RIE 已成为VLSI工艺中应用最广泛的主流刻蚀技术20、掺杂:将需要的杂质掺入特定的半导体区域中,以达到改变半导体电学性质,形成PN结、电阻、欧姆接触21、磷(P)、砷(As)—N型硅22、硼(B)—P型硅23、掺杂工艺:扩散、离子注入扩散:扩散是由粒子浓度较高的地方向着浓度较低的地方进行,从而使得粒子的分布逐渐趋于均匀;浓度的差别越大,扩散越快;温度越高,扩散也越快。

替位式扩散:杂质离子占据硅原子的位置。

Ⅲ、Ⅴ族元素一般要在很高的温度(950~1280℃)下进行磷、硼、砷等在二氧化硅层中的扩散系数均远小于在硅中的扩散系数,可以利用氧化层作为杂质扩散的掩蔽层间隙式扩散:杂质离子位于晶格间隙:Na、K、Fe、Cu、Au 等元素扩散系数要比替位式扩散大6~7个数量级离子注入:将具有很高能量的杂质离子射入半导体衬底中的掺杂技术,掺杂深度由注入杂质离子的能量和质量决定,掺杂浓度由注入杂质离子的数目(剂量)决定掺杂的均匀性好温度低:小于600℃可以精确控制杂质分布可以注入各种各样的元素横向扩展比扩散要小得多。

可以对化合物半导体进行掺杂24、退火:也叫热处理,集成电路工艺中所有的在氮气等不活泼气氛中进行的热处理过程都可以称为退火激活杂质:使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到杂质的作用消除损伤退火方式:炉退火快速退火:脉冲激光法、扫描电子束、连续波激光、非相干宽带频光源(如卤光灯、电弧灯、石墨加热器、红外设备等)25、集成电路工艺划分前工序:(1)图形转换技术:主要包括光刻、刻蚀等技术(2)薄膜制备技术:主要包括外延、氧化、化学气相淀积、物理气相淀积(如溅射、蒸发)(3)掺杂技术:主要包括扩散和离子注入等技术后工序:划片、封装、测试、老化、筛选26、氧化硅的主要作用(1)在MOS电路中作为MOS器件的绝缘栅介质,器件的组成部分(2)扩散时的掩蔽层,离子注入的(有时与光刻胶Si3N4层一起使用)阻挡层(3)作为及策划年高点了的隔离截止材料(4)作为电容器的绝缘介质材料(5)作为多层金属互连层之间的介质材料(6)作为对器件和电路进行钝化的钝化层材料27、SiO2的制备方法热氧化法a)干氧氧化b)水蒸气氧化c)湿氧氧化d)干氧湿氧干氧(简称干湿干)氧化法e)氢氧合成氧化化学气相淀积法通过气态物质的化学反应在衬底上淀积一层薄膜材料的过程(Chemical Vapor Deposition)CVD技术特点:具有淀积温度低、薄膜成分和厚度易于控制、均匀性和重复性好、台阶覆盖优良、适用范围广、设备简单等一系列优点CVD方法几乎可以淀积集成电路工艺中所需要的各种薄膜,例如掺杂或不掺杂的SiO2、多晶硅、非晶硅、氮化硅、金属(钨、钼)等热分解淀积法溅射法28、集成电路工艺主要分为哪几大类,每一类中包括哪些主要工艺,并简述各工艺的主要作用(1)薄膜制备工艺:包括氧化工艺和薄膜淀积工艺。

相关文档
最新文档