数码管显示电路的制作
数电实验报告数码管显示控制电路设计
数电实验报告数码管显示控制电路设计实验目的:设计一个数码管显示控制电路,实现对数码管的显示控制。
实验器材:数码管、集成电路、电阻、开关、电源等。
实验原理:数码管是一种用它们来显示数字和字母的一种装置。
它由几个独立的发光二极管组成,每个数字由不同的发光二极管的组合表示。
对数码管的显示控制通常使用多路复用技术实现,即通过控制数码管的分段和共阴极或共阳极来实现不同数字的显示。
实验步骤:1.确定数码管的类型和接线方式。
本实验中使用共阳数码管,数码管共阳极通过电阻连接到正极电源。
2.选取适当的集成电路作为显示控制电路。
本实验中选择CD4511作为显示控制芯片,它可以实现对4位共阳数码管的显示控制。
3.连接电路。
将4位共阳数码管的阳极分别连接到CD4511芯片的A、B、C和D端口,共阴极连接到电源正极。
将CD4511芯片的输入端口IN1、IN2、IN3和IN4连接到微控制器的输出端口,控制微控制器输出的电平来选通不同的数码管。
4.设置微控制器的输出。
通过编程或手动设置微控制器的输出端口来控制数字的显示。
根据需要显示的数字,将相应的输出端口设置为高电平,其余端口设置为低电平。
通过适当的延时控制,便可以实现数字的连续显示。
实验结果与分析:经过上述步骤完成电路搭建后,我们可以通过改变微控制器的输出端口来控制数码管的显示。
当我们设置不同的输出端口为高电平时,相应的数码管会显示对应的数字。
通过适当的延时控制,我们可以实现数字的连续显示,从而实现对数码管的显示控制。
实验结论:通过本次实验,我们成功地设计并实现了一个数码管显示控制电路。
通过对微控制器输出端口的控制,我们可以实现对数码管的数字显示控制。
这对于数字显示系统的设计和开发具有重要意义。
实验心得:通过本次实验,我对数码管的显示控制有了更深入的了解。
数码管作为一种常见的数字显示装置,广泛应用于各种电子设备中。
掌握其显示控制原理和方法对于电子技术爱好者来说至关重要。
通过实际操作,我对数码管显示控制电路的设计和实现有了更深入的认识,同时也提高了我对数字显示系统的理解和设计能力。
八位数码管扫描显示电路的设计
《EDA技术及应用》课程设计报告题目:八位数码管扫描显示电路的设计院(系):机电与自动化学院专业班级:电气自动化技术学生姓名:学号:指导教师:20 年6月10日至20 年6月23日华中科技大学武昌分校4、课程设计使用设备(1)EDA及SOPC综合实验平台;(2)导线若干;(3)PC机;(4)Quartus II开发工具软件。
目录摘要................................................................1.课程设计题目及要求 (1)1.1设计题目 (1)1.2L E D显示器的动态扫描驱动电路 (1)1.3设计方案论证 (1)2.L E D显示器动态扫描驱动电路各单元电路设计 (3)2.1计数器与译码器的设计 (3)2.2一位共阳极L E D动态驱动电路设计 (4)2.3七段数码管的设计 (5)3.L E D显示器动态扫描系统设计 (7)3.1整体电路图及工作原理 (7)3.2V H D L程序设计 (7)3.3电路参数计算 (10)4.Q u a r t u s运行调试 (12)4.1时序仿真 (12)4.2硬件逻辑验证 (13)4.3调试结果分析 (13)4.4调试中出现的问题及解决方法 (14)5.设计总结 (15)6.参考文献 (16)摘要本文通过一个3-8译码器电路,将输入的4位2进制数转换为与LED显示对应的8位段码,位码就是LED的显示使能端,对于共阳级的LED而言,高电平使能。
要使8个数码管动态扫描显示,就是把所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。
使用Quartus II6.0软件设计一个VHDL程序并对设计方案进行仿真,再硬件调试经检测输出正确的设计要求结果。
关键词:动态扫描Quartus II6.0 VHDLAbstractIn this paper, through a 3-8 decoder circuit, the input of four hexadecimal number into 2 and eight LED display the corresponding section of code, a codeis the LED display can make side, for the LED with Yang level, high level canmake. To makeeight digital tube dynamic scans showed that is all the samesegment digital tube connected in parallel, through the gating signal time-sharing control public side, the digital tube loop light multiple digital tube, and use the eye of the phenomenon of persistence of vision, as long as the scanning frequency is more than 50 hz, will see the flicker phenomenon. Using Quartus II6.0 a VHDL program design and the software design simulation, and hardware debugging through testing output correct design requirementsKey words: dynamic scanning Quartus II6.0 VHDL1. 课程设计题目及要求1.1设计题目八位数码管扫描显示电路的设计设计主要内容:本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。
EDA实验报告-实验2-数码管扫描显示电路
EDA实验报告-实验2-数码管扫描显⽰电路暨南⼤学本科实验报告专⽤纸课程名称 EDA 实验成绩评定实验项⽬名称数码管扫描显⽰电路指导教师郭江陵实验项⽬编号 02 实验项⽬类型验证实验地点 B305 学院电⽓信息学院系专业物联⽹⼯程组号: A6⼀、实验前准备本实验例⼦使⽤独⽴扩展下载板EP1K10_30_50_100QC208(芯⽚为EP1K100QC208)。
EDAPRO/240H 实验仪主板的VCCINT 跳线器右跳设定为3.3V ;EDAPRO/240H 实验仪主板的VCCIO 跳线器组中“VCCIO3.3V ”应短接,其余VCCIO 均断开;独⽴扩展下载板“EP1K10_30_50_100QC208”的VCCINT 跳线器组设定为 2.5V ;独⽴扩展下载板“EP1K10_30_50_100QC208”的VCCIO 跳线器组设定为3.3V 。
请参考前⾯第⼆章中关于“电源模块”的说明。
⼆、实验⽬的1、了解时序电路设计。
2、制作⼀个数码管显⽰的7段译码电路,以备以后调⽤。
三、实验原理在电⼦电路显⽰部分⾥,发光⼆极管(LED )、七段显⽰数码管、液晶显⽰(LCD )均是⼗分常见的⼈机接⼝电路。
通常点亮⼀个LED 所需的电流在5~20mA 之间,电流愈⼤,LED 的亮度也⾼,相对的使⽤寿命也愈短。
若以10mA 导通电流来估算⼀个接5V 的串接电阻值计算应为:(5-1.6)/10mA ≈0.34K Ω。
七段显⽰数码管分为共阳、共阴⼆种极性。
它们等效成⼋个LED 相连电路。
共阴极七段显⽰器的LED 位置定义和等效电路共阴极七段显⽰码⼗六进制转换表四、实验内容⽤拨码开关产⽣8421BCD 码,CPLD 器件产⽣译码及扫描电路,把BCD 码显⽰在LED 数码管上,通过改变扫描频率观察数码管刷新效果。
五、实验要求学习在MAX+PLUS II 中使⽤VHDL 设计功能模块,并将所⽣成的功能模块转换成MAX+PLUS II 原理图的符号库,以便在使⽤原理图时调⽤该库。
如何设计简单的数字显示电路
如何设计简单的数字显示电路数字显示电路是一种常见的电子电路,用于将数字信息以可视化形式展示出来。
设计一个简单的数字显示电路需要考虑到多个方面,包括数字信号输入、数码管显示、信号处理等。
本文将介绍如何设计一个简单且有效的数字显示电路。
首先,数字信号的输入。
在数字电路中,数字信号通常以二进制形式表示。
一般情况下,我们使用开关或按钮来输入数字信号。
可以将多个开关或按钮与逻辑门相连,通过逻辑门来将输入的信号转换为二进制码。
例如,可以使用4个开关分别表示二进制数的各位,然后将它们与AND、OR、NOT等逻辑门相连,以得到最终的二进制码。
接下来是数码管的显示。
数码管是一种常用的数字显示设备,能够将数字信息以可视化形式展示出来。
常见的数码管有共阳极和共阴极两种类型。
对于共阴极数码管,它们的负极(阴极)是共用的,而正极(阳极)分别与控制芯片相连。
而对于共阳极数码管,则正好相反。
我们可以通过控制数码管的阳极或阴极来显示不同的数字。
通常,数码管内部有七个或者更多的LED灯,用来显示不同的数字。
设计一个简单的数字显示电路时,需要确定数码管的类型、连接方式以及控制逻辑。
信号处理是数字显示电路中的关键环节。
在输入的数字信号经过逻辑门转换得到二进制码后,需要将二进制码转化为七段码或其他适合数码管显示的编码形式。
常见的七段码包括BCD码(十进制编码)、ASCII码等。
通过将二进制码转化为七段码,然后将七段码与数码管相连接,即可实现数字的显示。
在信号处理的过程中,可能涉及到编码转换器、译码器等电路。
此外,为了确保数字显示电路的正常工作,还需要考虑到电源供电、接地和电路的稳定性等因素。
通常情况下,我们使用直流电源供电,并确保电源电压稳定。
同时,还需要注意将数字显示电路正确地接地,以减少干扰,提高信号的稳定性和可靠性。
综上所述,设计一个简单的数字显示电路需要考虑到数字信号的输入、数码管的显示、信号处理以及电源供电等方面的问题。
通过合理地选择开关、逻辑门、数码管和相关电路元件,并设计适合的连接方式和信号处理方法,即可实现数字信息的简单显示。
(VHDL实验报告)数码管显示(一位数码管显示0-9,八位数码管显示学号后八位)
(1)一位数码管显示0-9:
电子科技大学成都学院学院
标准实验报告
(实验)课程名称 数字电路 EDA 设计与应用
姓名 乱弹的枇杷 学号 专业 指导教师
一、 实验名称 数码管显示(一位数码管显示 0-9,八位数码管显示学号
后八位)
二、 实验目的 1、了解数码管的工作原理。 2、学习七段数码管显示译码器的设计。 3、掌握 VHDL 的 CASE 语句及多层次设计方法。
信号名称 7SEG-A 7SEG-B 7SEG-C 7SEG-D 7SEG-E 7SEG-F 7SEG-G 7SEG-DP 7SEG-SEL0 7SEG-SEL1 7SEG-SEL2
对应 FPGA 管脚名
F13 F14 F15 E15 F16 F17 E18 F18 G18 G17 G16
说明 七段码管 A 段输入信号 七段码管 B 段输入信号 七段码管 C 段输入信号 七段码管 D 段输入信号 七段码管 E 段输入信号 七段码管 F 段输入信号 七段码管 G 段输入信号 七段码管 dp 段输入信号
7、分配完成后,再进行一次全编译,以使管脚分配生效。 8、新建波形文件,对程序进行仿真,其仿真波形如下所示:
(1)一位数码管显示0-9:
(2)八位数码管显示学号后八位:
9、用下载电缆通过JTAG 口将对应的sof 文件加载到FPGA 中。观察实验 结果是否与自己的编程思想一致。
六、实验现象及结果 以设计的参考示例为例,当设计文件加载到目标器件后,将数字
实验03静态数码管显示
实验三 静态数码管显示一.实验目的 1.了解数码管内部电路结构; 2.学习7段数码管显示译码器的设计; 3. 学习LPM 兆功能模块的调用。
二. 准备知识为了对数字电路进行控制、直观观察数字电路的设计结果,CPLD/FPGA 器件往往要和一些外部接口电路相连,前面实验中实验的二极管、DIP 开关、脉冲信号源等都属于外部接口电路。
在编译前我们进行的锁定管脚,就是把设计电路(元件)的数字信号输入、输出连到相应的CPLD/FPGA 器件管脚;而CPLD/FPGA 器件的一些管脚在硬件上和外部的接口电路相连;这样就把设计的输入、输出管脚和外部的接口电路相通,以便对电路进行控制(输入)、观察结果(输出)。
通常的外部接口电路有:二极管、7VGA 接口、鼠标接口、键盘、时钟信号接口、A/D 接口、D/A 接口、UART 接口、I 2C 控制器接口等其它数字信号接口。
数码管LED 显示是工程项目中使用广泛的一种输出显示器件。
从数码管的个数上数码管分为单联和多联,单联数码管的封装结构如图3.1所示;从电路连接上数码管分为共阳极和共阴极2种,共阴极数码管是将8个发光二极管的阴极连接在一起作为公共端,如图3.2所示;而共阳极数码管是将8个发光二极管的阳极连接在一起作为公共端,如图3.3所示。
公共端通常称为位码或选通位,而将其它8位称为段码。
底部管脚 上部结构图数码管的e 、d 、c 、b 、a 。
我们以图3.3所A11脚为低电平,这样发光二极1”,就需要位码为高电平,BC 段码为低电平,正向导通而发光,而其他的段码为高电平,无电流通过不发光。
故8位段码的需要赋二进制值为“00000011”,位码赋值为高电平,这就是所谓的“译码”。
位码使用了三极管。
从硬件电路原理图上可知,FPGA 器件的IO 管脚为低电平时,数码管的位码管脚为高电平,导通。
本实验通过分频器得到1Hz 的时钟信号,加载于4位计数器的时钟输入端。
计数器循环输出0~9、A~F 共16个数。
数码管电路的制作与驱动
/txz01/blog怎样用单片机驱动LED数码管显示怎样用单片机驱动LED数码管显示片机驱动LED数码管有很多方法,按显示方式分,有静态显示和动态(扫描)显示,按译码方式可分硬件译码和软件译码之分。
静态显示就是显示驱动电路具有输出锁存功能,单片机将所要显示的数据,显示数据稳定,占用很少的CPU时间。
动态显示需要CPU时刻对显示器件进行数据刷新,显示数据有闪烁感,占用的CPU时间多。
这两种显示方式各有利弊;静态显示虽然数据稳定,占用很少的CPU时间,但每个显示单元都需要单独的显示驱动电路,使用的硬件较多;动态显示虽然有闪烁感,占用的CPU时间多,但使用的硬件少,能节省线路板空间。
硬件译码就是显示的段码完全由硬件完成,CPU只要送出标准的BCD码即可,硬件接线有一定标准。
软件译码是用软件来完成硬件的功能,硬件简单,接线灵活,显示段码完全由软件来处理,是目前常用的显示驱动方式。
比较常用的显示驱动芯片有:74LS164 , CD4094+ULN2003(2803) ,74HC595+ULN2003(2803) , TPIC6B595,AMT9095B, AMT9595等许多。
另外,市场上还有一些专用的LED扫描驱动显示模块如MAX7219等,功能很强,价格稍高一些。
下面是一个用74LS164驱动显示的例子和一个用4094扫描驱动显示的例子:上例图中加了一个PNP型的三极管来控制数码管的电源,是因为164没有数据锁存端,数据在传送过程中,对输出端来说是透明的,这样,数据在传送过程中,数码管上有闪动现象,驱动的位数越多,闪动现象越明显。
为了消除这种现象,在数据传送过程中,关闭三极管使数码管没电不显示,数据传送完后立刻使三极管导通,这样就实现锁存功能。
这种办法可驱动十几个164显示而没有闪动现象。
这个例子是用4094做位选,用89C2051的P1口线做段驱动来扫描驱动9位数码管的显示。
由于4094只有8个输出口线,其中第九位是用CPU口线直接进行位选的。
数码管显示
一、数码管的结构 1、常用的数码管
2、数码管的内部结构
3、数码管的引脚图 数码管的引脚判断可用万用表的二极管测量档位进行判断。 数码管的引脚判断可用万用表的二极管测量档位进行判断。 可以判断出每个引脚及共阴还是共阳
二、数码管的显示原理 1、共阴极数码管 共阴极数码管 公共端接低电平, 公共端接低电平,a~h八个输入根据要显示的字符确定是 八个输入根据要显示的字符确定是 高电平“ 或低电平 或低电平“ , 高电平“1”或低电平“0”,从而得到每个数字或字符的显 示段码。 示段码。 显示数字 h 0 0 g 0 0 f 1 0 e 1 0 d 1 0 c 1 1 b 1 1 a 段码值 1 0 3FH 06H
四、设计举例 设计一共阳极6位动态扫描显示电路,显示 设计一共阳极 位动态扫描显示电路,显示012345六个 位动态扫描显示电路 六个 数字。 数字。 DIS1 EQU 30H
DIS2 EQU 31H DIS3 EQU 32H ORG 0000H LJMP START ORG 0030H START: MOV SP,#60H MOV DIS1,01HH MOV DIS2,#23H MOV DIS3,#45H MOV P1,#0FFH WAIT: LCALL DISPLAY SJMP WAIT SJMP $
DISPLAY: PUSH ACC PUSH PSW MOV DPTR,#TABLE MOV A,DIS1 MOV B,A ANL A,#0F0H SWAP A MOVC A,@A+DPTR MOV P0,A MOV P2,#01111111B LCALL DELAY MOV A,B ANL A,#0FH MOVC A,@A+DPTR MOV P0,A
共阳极数码管显示5电路
共阳极数码管显示5电路
共阳极数码管是一种常见的电子元器件,它可以用来数字显示。
这种
数码管的一个特点是它的阳极是共用的,而每个数字的七段LED是独
立的。
因此,要实现数字显示,需要使用多路开关和电阻网络进行控制。
首先,我们需要明白数码管的构成。
共阳极数码管由七个LED灯组成,分别为a、b、c、d、e、f、g。
每个LED的阳极都是相同的,而阴极则独立。
当将一个数字显示在数码管上时,需要同时点亮多个LED,
这就需要使用多路开关来控制每个LED的阴极。
假设我们要将数字5显示在数码管上,那么需要点亮d、e、f、a、b
这五个LED。
我们可以使用一个4:16的多路开关来实现。
这样,就可以选择每个数字所对应的七段LED,然后将它们和电阻网络连接在一起。
电阻网络的作用是保证每个LED的电流不会超过它的额定值。
当我们向数码管中输入数字5时,多路开关会选择d、e、f、a、b这
五个LED,并将它们连接到电阻网络上。
然后,通过共阳极的阳极驱
动电路,就可以将电流引导到这五个LED上,从而点亮它们,形成数
字5的显示。
总之,共阳极数码管的显示为每个数字的七段LED独立控制,每个数字的LED通过多路开关选择连接到电阻网络,分别连接到单独的导通控制器控制引脚。
在实际应用中,我们可以通过编程控制多路开关和导通控制器,从而实现数字的显示。
七段LED数码管显示电路设计(精)
实验七七段LED数码管显示电路设计一、实验目的1.学习EDA软件的基本操作2.学习使用原理图进行设计输入3.初步掌握软件输入、编译、仿真和编程的过程4.学习实验开发系统的使用方法二、实验说明本实验通过七段LED数码管显示电路的设计,初步掌握EDA 设计方法中的设计输入、编译、综合、仿真和编程的基本过程。
七段LED数码管显示电路有四个数据输入端(D0-D3),七个数据输出端(A-G。
三、实验要求1、完成七段LED数码管显示电路的原理图输入并进行编译2、对设计的电路经行仿真验证3、编程下载并在实验开发系统上验证设计结果四、实验步骤1、新建工程2、新建Verilog HDL文件3、在文本输入窗口键入代码4、保存HDL文件5、编译文件直至没有错误6、新建波形文件7、添加观察信号8、添加输入激励,保存波形文件9、功能仿真七段LED数码管显示电路真值表:输入D3D2D1D0G F E D C B A 000000111111 100010000110 200101011011 300111001111 401001100110 501011101101 601101111101 701110001111 810001111111910011101111 A10101110111 B10111111100 C11001111001 D110111011110 E11101111001 F11111110001五、电路原理图啊Verilog代码描述:module qiduan(data_in,data_out;input [3:0]data_in;output [6:0]data_out;reg [6:0]data_out;always @(data_inbegincasex(data_in4'b0000:data_out<=7'b0111111;4'b0001:data_out<=7'b0000110; 4'b0010:data_out<=7'b1011011; 4'b0011:data_out<=7'b1001111; 4'b0100:data_out<=7'b1100110; 4'b0101:data_out<=7'b1101101; 4'b0110:data_out<=7'b1111100; 4'b0111:data_out<=7'b0000111; 4'b1000:data_out<=7'b1111111; 4'b1001:data_out<=7'b1100111; 4'b1010:data_out<=7'b1110111; 4'b1011:data_out<=7'b1111100; 4'b1100:data_out<=7'b0111001; 4'b1101:data_out<=7'b1011110; 4'b1110:data_out<=7'b1111001; 4'b1111:data_out<=7'b1110001; default:data_out<=7'b0000000; endcaseendendmodule仿真波形:六、实验体会七段LED数码管显示电路是常用的数码管之一,它有四个数据输入端(D0-D3),七个数据输出端(A-G。
组合电路——7段数码管显示驱动电路设计报告
实验一、组合电路——7段数码管显示驱动电路设计一、实验目的了解EDA实验箱7位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验用。
二、硬件要求主芯片为Cyclone V E,型号为EP4CE22F17C8,7位八段数码管显示器,四位拨码开关。
三、实验内容用四位拨码开关产生8421BCD码,用CPLD分别产生7段数码管扫描驱动电路,然后进行仿真,观察波形,正确后编程下载实验测试。
四、实验原理1、72、动信号a,b,c,d,e,f,g。
通过调节四位拨码开关的状态,数码管应显示与之对应的字符。
五、实验连线输入:将芯片管角a0~a3分别接4个拨码开关;输出:将芯片管角led7s0~7分别接到数码管7段驱动信号a、b、c、d、e、f、g上。
六、实验源程序:decl7s.vhdlibrary ieee;use ieee.std_logic_1164.all;entity decl7s isport(a:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of decl7s isbeginprocess(a)begincase a iswhen "0000" => led7s<="0111111"; when "0001" => led7s<="0000110"; when "0010" => led7s<="1011011"; when "0011" => led7s<="1001111"; when "0100" => led7s<="1100110"; when "0101" => led7s<="1101101"; when "0110" => led7s<="1111101"; when "0111" => led7s<="0000111"; when "1000" => led7s<="1111111"; when "1001" => led7s<="1101111"; when "1010" => led7s<="1110111"; when "1011" => led7s<="1111100"; when "1100" => led7s<="0111001"; when "1101" => led7s<="1011110"; when "1110" => led7s<="1111001"; when "1111" => led7s<="1110001"; when others => null;end case;end process;end;七、波形仿真结果。
BCD7段数码管显示译码器电路设计
以上语句等效为: process(indicator, sig)
variable temp : std_logic ; begin
temp := ‘0’ ; temp :=temp xor (sig(0) and indicator(0)); temp :=temp xor (sig(1) and indicator(1)); temp :=temp xor (sig(2) and indicator(2)); temp :=temp xor (sig(3) and indicator(3)); output <= temp ; end process ;Biblioteka 例:变量赋值实现循环语句功能
process(indicator, sig) variable temp : std_logic;
begin temp := ‘0’ ; for i in 0 to 3 loop
temp:=temp xor (sig(i) and indicator(i));
end loop ; output <= temp; end process;
PROCESS (a,b) BEGIN
--sequential statements END PROCESS;
PROCESS BEGIN
-- sequential statements WAIT ON (a,b) ; END PROCESS;
BCD-7段显示译码器工作原理
• BCD-7段译码器是由7个发光二极(LED) 管构成,LED由特殊的半导体材料砷化镓、 磷砷化镓等制成,组装成分段式或点阵式 LED显示器件(半导体显示器)。分段式显示 器(LED数码管)由7条线段围成8型,每一段 包含一个发光二极管。外加正向电压时二 极管导通,发出清晰的光,有红、黄、绿 等色。只要按规律控制各发光段的亮、灭, 就可以显示各种字形或符号。
数码管显示简易计算器说明书
目录一、原理图设计说明 (1)1.1数码管硬件电路设计 (1)1.2矩阵键盘电路设计: (2)二、程序设计说明 (3)2.1键盘扫描程序设计 (3)2.2算术运算程序设计 (4)2.3显示程序设计 (5)三、工作原理图及程序流程图 (6)四、程序清单 (9)五、参考文献 (15)一、原理图设计说明1.1数码管硬件电路设计数码管数据显示的硬件电路由单片机、4 位共阳极数码管、位驱动电路、限流电阻等组成。
实验板中用杜邦线将P7 与单片机IO 口相连(这里以P0 口为例)。
用跳线将P8 与单片机P2 低四位相连,P0 口送出数码管段选码,P2 送出位选码。
图1-1 数码管硬件电路原理图1.2矩阵键盘电路设计:4*4 矩阵键盘行输入接单片机P1.0-P1.3,列输入接单片机P1.4-P1.7,通过扫描方式检测是否有键按下,并确定键值,使用矩阵键盘时注意给P10 矩阵键盘选择端使能。
对键盘进行编号,S3-S18,按下相应按键,在数码管上显示相应键值(0-F)。
例如按下S10 键,显示A,按下S0 键,显示0。
图1-2 矩阵键盘电路原理图二、程序设计说明2.1键盘扫描程序设计键盘扫描程序的过程为:开始时,先判断是否有键闭合,无键闭合时,返回继续判断,有键闭合时,先去抖动,然后确定是否有键按下,若无键按下,则返回继续判断是否有键闭合,若有键按下,则判断键号,然后释放,若释放按键完毕,则返回,若没有释放按键,则返回继续释放。
其流程图如图2-1所示。
图2-1 键盘扫描程序流程图算术运算程序的过程为:先判断输入的运算符是+、-、*、/ 中的哪一个,若是+或-,则要判断运算结果是否溢出,溢出则显示错误信息,没溢出就显示运算结果,若是/,则要先判断除数是否为零,为零就显示错误信息,不为零则显示运算结果,若是-,则直接显示运算结果。
其流程图如图2.2所示。
图2-2 算术运算程序设计流程图先是程序的过程为:显示开始时,先进行LED的初始化,判断是否显示,若不显示,则返回,若显示,则进行相应功能的设置,然后送地址和数据,再判断是否显示完,显示完则返回,没有显示完则继续送地址。
Altium Designer 2013案例教程- 第10讲 项目7 数码管显示电路原理图
元件标号 U1
U2
元件名称
所属元器件库 New Integrated_ Library1.IntLib(新建元件库)
Maxim Communication Transceiver.IntLib
74LS49
U3
TI Interface Display Driver.IntLib
Dpy Blue-CA
2.当工程被编辑后,任何错误都将显示在Messages面板上。如果电 路图有严重的错误,Messages面板将自动弹出,否则Messages面板 不出现。如果报告给出错误,则检查用户的电路并纠正错误。
小结:
7.1 数码管原理图的绘制 7.1.1 绘制原理图首先要做的工作 7.1.2 加载库文件 7.1.3 放置元件 7.1.4 导线放置模式 7.1.5 放置总线和总线引入线 7.1.6 放置网络标签 7.1.7 检查原理图
(2)用同样的方法将C2封装改为:RAD-0.1;将C3封装改为:CAPR55×5; 将C4封装改为:RB5-10.5。
在原理图内也可以不修改元器件的封装,用缺省的值。然后在PCB板 内,根据实际元器件的尺寸修改封装。
图7-9 为选中元件选择相应的模型
2.放置好元器件位置的数码管电路原 理图如图7-12所示。
(4)我们想查找所有与74LS49有关的元件,所以在Filters的Field 列的第1行选Name,Operator列选Contains,Value列输入74LS49,如图 7-4所示。
图7-4 库搜索对话框
(5)单击Search按钮开始查找。搜索启动后,搜 索结果如图7-5所示。
图7-5 搜素结果
Header6
P2
Miscellaneous Connectors.IntLib
实验四 七段数码管显示实验报告
实验四七段数码管显示实验一、实验目的掌握数码管显示数字的原理。
二、实验内容1.静态显示:数码管为共阴极,通过BCD码译码驱动器CD4511驱动,其输入端A~D输入4位BCD码,位码输入低电平选中。
按图4-1连接好电路,将8255的A口PA0~PA3与七段数码管LED1的BCD码驱动输入端A1~D1相连,8255的A口PA4~PA7与七段数码管LED2的BCD码驱动输入端A2~D2相连,8255的B口PB0~PB3与七段数码管LED3的BCD码驱动输入端A3~D3相连,8255的B口PB4~PB7与七段数码管LED4的BCD码驱动输入端A4~D4相连,8255的C口PC0~PC3分别与七段数码管LED4~LED4的位驱动输入端DG1~DG4相连。
编程从键盘上每输入4个0~9数字,在七段数码管LED4~LED4上依次显示出来。
图4-12.动态显示:数码管为共阴极,段码采用相同驱动,输入端加高电平,选中的数码管对应段点亮,位码采用同相驱动,位码输入端低电平选中,按图4-2连接好电路,图中只画了2个数码管,实际是8个数码管,将8255的A口PA0~PA7分别与七段数码管的段码驱动输入端a~g相连(32TCI0模块上的J1连32LED8模块J2),8255的C口的PC0~PC7接七段数码管的段码驱动输入(32TCI0模块上的J3连32LED8模块J1),跳线器K1连2和3。
编程在8个数码管上显示“12345678”。
按任意键推出运行。
图4-2三、编程提示1.由于DVCC卡使用PCI总线,所以分配的IO地址每台微机可能都不用,编程时需要了解当前的微机使用那段IO地址并进行处理。
2.对实验内容1,七段数码管字型代码与输入的关系如下表:四、参考流程图1.实验内容一的参考流程图图4-3 2.实验内容二的参考流程图图4-4五、参考程序1.内容一的参考程序源程序清单如下:data segmentioport equ 0c400h-0280hio8255a equ ioport+288hio8255b equ ioport+289hio8255c equ ioport+28ahio8255k equ ioport+28bhled db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fhmesg1 db 0dh,0ah,'Input a num (0--9),other key is exit:',0dh,0ah,'$'bz db ?cz db 04hdata endscode segmentassume cs:code,ds:datastart: mov ax,datamov ds,axmov dx,io8255k ;使8255的A口为输出方式mov ax,80hout dx,alsss0: mov si,offset bzmov cx,04hsss1: mov dx,offset mesg1 ;显示提示信息mov ah,09hint 21hmov ah,01 ;从键盘接收字符int 21hcmp al,'0' ;是否小于0jl exit ;若是则退出cmp al,'9' ;是否大于9jg exit ;若是则退出sub al,30h ;将所得字符的ASCII码减30Hmov [si],al ;存入显示缓冲区inc si ;显示缓冲区指针加1dec cx ;判断输入满4个数字吗?jnz sss1 ;不满继续mov si,offset bz ;从显示缓冲区取第一个数字的BCD 码mov al,[si]and al,0fh ;屏蔽高四位暂存ALinc si ;显示缓冲区指针加1mov ah,[si] ;取第二个数字的BCD码到AHsal ah,4h ;右移4次到高四位add al,ah ;两个BCD码合并成一个字节mov bl,al ;暂存入BLinc simov al,[si] ;取第三个数字的BCD码and al,0fhinc simov ah,[si] ;取第四个数字的BCD码到AHsal ah,4hadd ah,almov al,ahmov dx,io8255a ;从8255的A口输出(后两个数字)out dx,almov al,blmov dx,io8255b ;从8255的B口输出(前两个数字)out dx,almov al,0f0hmov dx,io8255c ;从8255的C口输出位码out dx,almov dl,0ffhmov ah,06int 21hje sss0 ;有键按下则退出exit: mov ah,4ch ;返回int 21hcode endsend start2.内容二的参考程序源程序清单如下:data segmentioport equ 0C400h-0280hio8255c equ ioport+28ahio8255k equ ioport+28bhio8255a equ ioport+288hled db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh ;段码buffer1 db 01h,02h,03h,04h,05h,06h,07h,08h ;存放要显示的十位和个位con db ? ;位码data endscode segmentassume cs:code, ds:datastart: mov ax,datamov ds,axmov dx,io8255k ;将8255设为A口C口输出mov al,80hout dx,alloop2: mov al,08h ;设置数码管位计数器初值到CON mov byte ptr con,almov si,offset buffer1 ;置显示缓冲器指针SImov ah,7fh ;置位码初值disp0: mov cx,0ffffhmov bl,ds:[si] ;取显示缓冲区显示值存BXmov bh,0hpush simov dx,io8255c ;位码从C口输出mov al,ahout dx,almov dx,io8255amov si,offset led ;置led数码表偏移地址为SIadd si,bx ;求出对应的led数码mov al,byte ptr [si]out dx,al ;段码从A口输出disp1: loop disp1 ;延时mov cx,0ffffhdisp2: loop disp2ror ah,01h ;位码右移1位pop siinc si ;显示缓冲区指针加1mov al,byte ptr condec almov byte ptr con,aljnz disp0 ;数码管位计数器减1为0吗?,不为0继续mov dx,io8255a ;为0,关数码管显示mov al,0out dx,almov dl,0ffhmov ah,06int 21hje loop2 ;有键按下则退出mov ah,4ch ;返回int 21hcode endsend start实验总结:通过这次试验,我了解到自定义数据类型可以根据自己的需要方便设定,有很大的灵活性。
(完整)7段数码管显示电路
4.4 显示模块4。
4。
1 7段数码管的结构与工作原理7段数码管一般由8个发光二极管组成,其中由7个细长的发光二极管组成数字显示,另外一个圆形的发光二极管显示小数点。
当发光二极管导通时,相应的一个点或一个笔画发光。
控制相应的二极管导通,就能显示出各种字符,尽管显示的字符形状有些失真,能显示的数符数量也有限,但其控制简单,使有也方便.发光二极管的阳极连在一起的称为共阳极数码管,阴极连在一起的称为共阴极数码管,如图4.9所示.4。
4.2 7段数码管驱动方法发光二极管(LED 是一种由磷化镓(GaP)等半导体材料制成的,能直接将电能转变成光能的发光显示器件.当其内部有一一电流通过时,它就会发光.7段数码管每段的驱动电流和其他单个LED 发光二极管一样,一般为5~10mA ;正向电压随发光材料不同表现为1.8~2.5V 不等。
7段数码管的显示方法可分为静态显示与动态显示,下面分别介绍。
(1) 静太显示所谓静态显示,就是当显示某一字符时,相应段的发光二极管恒定地寻能可截止。
这种显示方法为每一们都需要有一个8位输出口控制。
对于51单片机,可以在并行口上扩展多片锁存74LS573作为静态显示器接口。
静态显示器的优点是显示稳定,在发光二极管导通电注一定的情况下显示器的亮度高,控制系统在运行过程中,仅仅在需要更新显示内容时,CPU 才执行一次显示更新子程序,这样大大节省了CPU 的时间,提高了CPU 的工作效率;缺点是位数较多时,所需I/O 口太多,硬件开销太大,因此常采用另外一种显示方式——动态显示。
(2)动态显示所谓动态显示就是一位一位地轮流点亮各位显示器(扫描),对于显示器的每一位而言,每隔一段时间点亮一次。
虽然在同一时刻只有一位显示器在工作(点亮),但利用人眼的视觉暂留效应和发光二极管熄 灭共阴极7段数码管内部字段LED 和引脚分 共阳极图4.9 7段数码管结构图时的余辉效应,看到的却是多个字符“同时”显示.显示器亮度既与点亮时的导通电流有关,也与点亮时间和间隔时间的比例有关。
实验四 数码管的动态显示实验
实验四数码管的动态显示实验班级通信1102 姓名谢剑辉学号20110803223 指导老师袁文澹一、实验目的熟悉掌握数码管动态显示的基本方法;根据已知电路和设计要求在实验板上实现数码管动态显示。
根据已知电路和设计要求在PROTEUS平台仿真实现控制系统。
二、实验内容1、在STC89C52实验平台的4位数码管上实现动态显示0123→1234→2345→3456→4567→5678→6789→7890→8901→9012→0123→不断反复,每隔2s切换显示内容。
2、思考:如何实现当4位数码管显示的内容中有“1”时,蜂鸣器蜂鸣。
三、实验原理实验要求“4位数码管上实现动态显示0123→1234→2345→3456→4567→5678→6789→7890→8901→9012→0123→不断反复,每隔2s切换显示内容”。
动态扫描可以实现要求。
简单地说,动态扫描就是选通一位,送一位数据。
原理图中的P10~P13是位选信号,即选择哪个数码管显示数字;P00~P07是段码,即要显示的数字。
可以通过依次选通一位7段数码管并通过P0端口送出显示数据。
由于人眼的视觉残留原理,如果这种依次唯一选通每一位7段数码管的动作在0.1s内完成,就会造成多位数码管同时点亮显示各自数字的假象。
本实验使用中断,实现每2s更新一次数字。
四、实验方法与步骤设计思路和方法:1、根据电路图,分析数码管动态显示的设计思路,使用中断实现每2秒更新一次数字的设计思路,以及实现当4位数码管显示的内容中有“1”时,蜂鸣器蜂鸣的设计思路。
(1)数码管动态显示的原理如“实验原理”里所述,不赘述;(2)使用中断实现每2s更新一次数字的设计思路:本次实验使用Timer0中断,由于其定时时间最大为65536us,不能实现2s的长延时,那么可以使用多次中断来实现,并且在中断到来时,不断地死循环显示数字,即根据动态显示原理“选通一位,来一位数据”。
由于最大的数字为9,则(x%10),(x+1)%10,(x+2)%10,(x+3)%10分别是千位,百位,十位,个位上的数字。
数电实验报告:数码管显示控制电路设计
数字电子技术实验报告实验五:数码管显示控制电路设计一、设计任务与要求:能自动循环显示数字0、1、2、3、4、1、3、0、2、4。
二、实验设备:1、数字电路实验箱;2、函数信号发生器;3、8421译码器;4、74LS00、74LS10、74LS90。
三、实验原理图和实验结果:1、逻辑电路设计及实验原理推导:将0、1、2、3、4、1、3、0、2、4用8421码表示出来,如下表:表一用8421码表示设想用5421码来实现8421码表示的0、1、2、3、4、1、3、0、2、4,故将0、1、2、3、4、5、6、7、8、9用5421码表示出来以与上表做对比:表二用5421码表示:观察表一,首先可得到最高位全为0,故译码器的“8”直接接低电平即可;对比表一和表二得,“4”位上的数字两表表示的数字是一样的,故“4”直接与5421码的“4”输出相连即可,即译码器的“4”连74LS90的“Q 3”端;表一的“2”位上的数字前五行与表二的“2”位上的数字前五行显示的一样,此时表二的“5”位上的数字均为0,表一的“2”位上的数字后五行与表二的“1”位上的数字后五行一样,此时表二上的“5”位上的数字均为1,故译码器的“2”要接的是实现函数表达式为1020Q Q Q Q +的电路;最后一位上没有明显的规律,可用卡诺图求得逻辑表达式,也即译码器的“1”要连接的是实现函数表达式为230130Q Q Q Q Q Q +的电路。
至此,实验原理图即可画出了。
2、 实验原理图:3、实验结果:编码器上依次显示0、1、2、3、4、1、3、0、2、4。
实验结果图如下:四、实验结果分析:实验结果为编码器上依次显示0、1、2、3、4、1、3、0、2、4,满足实验设计要求。
五、实验心得:在这次实验前,我认真的分析了实验原理并设计了电路,并用仿真软件得出了符合实验设计要求的结果,可是在实验过程中我遇到了问题,电路连了好几遍显示的结果都不完全对,第一次做的过程中没能顺利排除故障;但我在第二次做的过程中很顺利,因为实验原理已烂熟于心,所以很快完成了实验,一次成功。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
4.4 LED显示器动态显示及应用实例
1. 动态显示的特点 动态扫描方法是用其接口电路把所有数码管的8个笔划段a~ g和dp同名端连在一起,而每一个数码管的公共极COM各自独 立地受I/O线控制。CPU向字段输出口送出字形码时,所有数 码管接收到相同的字形码。但究竟是哪个数码管亮,则取决 于COM端,COM端与单片机的I/O口相连接,由单片机输出位 码到I/O控制何时哪一位数码管亮。 动态扫描用分时的方法轮流控制各个数码管的COM端,使各 个数码管轮流点亮。在轮流点亮数码管的扫描过程中,每位 数码管的点亮时间极为短暂。但由于人的视觉暂留现象及发 光二极管的余辉,给人的印象就是一组稳定的显示数据。
分析任务
• • • • • • 1、I/O端口 2、交通灯的工作过程 3、交通灯原理图的绘制 4、单片机最小应用系统的设计 5、所需电子元件的测试 6、电路板的焊接调试
知识要点:
• • • • • 电子线路 PROTEL 检测技术及应用 电路设计 MCS-51的I/O端口
4.1 单片机I/O端口
2. 显示原理 0 0
1 2 3 4 5 6 7 8 9 A b C d E F H P 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
4.3 LED显示器静态显示及应用实例
1. 静态显示的特点
静态显示就是显示驱动电路具有输出锁存功能,单片机将所要显示的数 据送出去后,数码管始终显示该数据(不变),CPU不再控制LED。到下 一次显示时,再传送一次新的显示数据。 静态显示的接口电路采用一个并行口接一个数码管,数码管的公共端按 共阴极或共阳极分别接地或接VCC。这种接法,每个数码管都要单独占 用一个并行I/O口,以便单片机传送字形码到数码管控制数码管的显示。 显然其缺点就是当显示位数多时,占用I/O口过多。 为了解决静态显示I/O口占用过多的问题,可采用串行接口扩展LED数码 管的技术。 静态显示方式的优点是显示的数据稳定,无闪烁,占用CPU时间少。其 缺点是由于数码管始终发光,功耗比较大。
资讯内容:
学习单元 2.2:MCS-51的扩展及应用 2.4:数码管显示控制系统
参考资料:
• • • • 《计算机工业控制技术(上册)》教材 《计算机工业控制技术》实验指导 《微机原理与接口技术》 《单片机原理及其接口技术》
情景导入---提出工作任务及要求
基本任务----数码管显示控制电路的设计 拓展任务---输入输出的测试
LED字型显示代码表
显示
段 dp g 0 0 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 f 1 0 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 e 1 0 1 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 符 d 1 0 1 1 0 1 1 0 1 1 0 1 1 1 1 0 0 0 号 c 1 1 0 1 1 1 1 1 1 1 1 1 0 1 0 0 1 0 b 1 1 1 1 1 0 0 1 1 1 1 0 0 1 0 0 1 1 a 1 0 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 1 十六进制代码 共阴极 3FH 06H 5BH 4FH 66H 6DH 7DH 07H 7FH 6FH 77H 7CH 39H 5EH 79H 71H 76H F3H 共阳极 C0H F9H A4H B0H 99H 92H 82H F8H 80H 90H 88H 83H C6H A1H 86H 8EH 89H 8CH
4.3 LED显示器静态显示及应用实例
2. 应用实例 【例】 用一位数码管显示开关来回拨动的次数。 解:电路如下图所示,89S51的P1口经74LS373接一个共阴极数 码管,数码管的公共端接地。P1口输出字型码送至数码管, 就能控制数码管的显示内容。74LS373为8D锁存器,在电路 中起驱动作用。两个与非门组成的RS触发器主要起消抖作 用,用来消除开关按下及弹起过程中的抖动所引起的判断 错误。开关信号经消抖动电路后接单片机的INT1引脚。每 来回拨动一次将产生一个下降沿信号,通过INT1向CPU申请 中断。 软件设计时,可用R0作为记录中断次数的指针(每中断一次 R0加1),然后根据R0用查表程序查出对应的字形码,再由 P1口送出,控制数码管显示中断次数值。
INT1 INT0
中请输入端
P3.3
P3.2
P3.1
P3.0
TXD
RXD
外RAM的R/W 记数脉冲输入端
串出
串入
返回
4.2 LED显示器(数码管)的结构与原理
1. 结构种类 七段LED显示器(数码管)系发光器件的一种。常用的LED发 光器件有两类:数码管和点阵。 数码管内部由七个条形发光二极管和一个小圆点发光二极 管组成,根据各管的亮暗组合成字符。常见数码管有10根 管脚。管脚排列如下图所示。其中COM为公共端,根据内部 发光二极管的接线形式可分为共阴极和共阳极两种。 使用时,共阴极数码管公共端接地,共阳极数码管公共端 接电源。每段发光二极管需5~10mA的驱动电流才能正常发 光,一般需加限流电阻控制电流的大小。
1、P0 2、P1 3、P2 4、P3
DPTR
RAM
PC
ROM P0 P1 P2 P3
P0 P1 P2 P3
SP
P0.0---P0.7
A
TMP
B
1、没有外扩芯片时,作为一般 ALU
的IN\OUT线 ,直接与外设通信。
2、有外扩Mem时 ,先送出外 X1 X2 Mem的地址码的低八位,然后传 P
PSW
P0 P1 P2 P3
SP PSW
A
TMP ALU
B
P 振 串口 L ID IR ,与外设通信 中断 1、作为一般的IN\OUT线 荡 X2 A
X1 2、还有第二功能。P3口的第二功能如下: P3.7 P3.6
PSEN RST ALE EA
定时
P3.5 P3.4
RD
WR
T1
T0
89C51单片机的内部结构
4.4 LED显示器动态显示及应用实例
1. 动态显示的特点 优点:当显示位数较多时,采用动态显示方式比较节省I/O 口,硬件电路也较静态显示简单。 缺点:其稳定度不如静态显示方式。而且在显示位数较多时 CPU要轮番扫描,占用CPU较多的时间。
4.4 LED显示器动态显示及应用实例
2. 应用实例 【例】 采用两位数码管动态扫描显示按键来回拨动次数。 解:硬件电路设计如下图所示。7407的两个输出引脚分别接至 两位数码管(共阴)的公共端,控制每位数码管的分时显示, 实现动态扫描显示。 软件设计以单片机内部RAM的30H、31H作为显示数据缓存, 两位段码的获取及每位数码管的显示控制由显示子程序完成。 参考程序如下。
3
GND
30pF
30pF
GND
一位数码管显示参考程序
ORG
000H
AJMP MAIN ORG 0013H AJMP INT1 ; 外部中断1入口地址 ************ 主程序 *************************** MAIN: SETB EA ; 开通中断开关 SETB EX1 ; 开外部中断 SETB IT1 ; 下降沿触发 MOV R0,#0 ; 计数指针清0 MOV P1,#3FH ; 开始显示0 MOV DPTR,#TAB ; 字形码地址送DPTR SJMP $ ; 等待中断(开关来回拨动一次产生一次中断) *********** 外部中断处理程序 ************************** INT1: INC R0 ; 开关每来回拨动一次计数指针加1 MOV A,R0 MOVC A,@A+DPTR ; 查字形码 MOV P1,A ; 字形码送P1显示 CJNE R0,#0FH,RE ; 是否等于15次 MOV R0,#00H ; 计数指计清0 RE: RETI TAB: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H ; 字形码 DB 7FH,6FH,77H,7CH,39H,5EH,79H,71H END
10.3.1 LED显示器的结构与原理
a +5V b c
a
b
c
COM 10 9 g f a f e d g b 8 a 7 6 b
d
d
e
e
f c g e 1 d 2 3 c 4 dp 5 dp GND (a) (b) (c)
f
g
COM
dp
管脚排列
共阴极数码管
共阳极数码管
4.2 LED显示器的结构与原理
B
ALU PSW 1、没有外扩芯片时,作为一般的
IN\OUT线 ,直接与外设通信 X1 X2
振 荡
P 2、有外扩Mem时 ,送出外Mem的 串口 中断 定时 L 地址码的高八位 ID IR A 外ROM: PC的高8位由P2.0--外RAM: DPH由P2.0---P2.7送出
P2.7送出 PSEN RST ALE EA
返回
DPTR
RAM
PC
ROM P0 P1 P2 P3
P0 P1 P2 P3
SP
A
TMP
B
P1.0---P1.7 ALU PSW
1、作为一般的IN\OUT线 ,
与外设通信。
X1 X2
振 荡
P L ID IR A
2、可以接外设。
串口
中断
定时
PSEN RST ALE EA
返回
DPTR
RAM
PC
ROM P0 P1 P2 P3
2. 显示原理 LED数码管的a~g七个发光二极管。加正电压的发光,加零 电压的不能发光,不同亮暗的组合就能形成不同的字型, 这种组合称为字型码。共阳极和共阴极的字型码是不同的, 如下表所示。 可采用硬件译码输出字型码控制显示内容,如采用74LS48、 CD4511(共阴极)或74LS46(74LS47)、CD4513(共阳极)。也 可用单片机I/O口直接输出字型码控制数码管的显示内容。 用单片机驱动LED数码管显示有很多方法,按显示方式分有 静态显示和动态显示。