实验二Quartus II 软件仿真实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Quartus II 软件仿真实验报告

一、实验目的

1、了解可编程数字系统设计的流程;

2、掌握Quartus II 软件的基本使用方法;

3、掌握原理图输入方式设计数字系统的方法和流程;

4、熟悉掌握集成译码器74LS138的应用。

二、实验设备

1、Quartus II 软件

2、Altera DE0 多媒体开发平台

3、74LS138集成电路

三、实验原理

● 74LS20:双4输入与非门

引脚的定义:

● 74LS138:3-8线译码器 引脚的定义:321,,S S S :使能输入,,与逻辑

四、实验内容——74LS138译码器逻辑功能的测试

把译码器的输入接到拨码开关(DE0板),输出端接8个LED灯(DE0板),通过拨码开关改变输入的逻辑电平变化来观察LED输出情况,验证3×8译码器的工作状态。

1.新建工程,并添加38译码器。选择Symbol Tool →others →maxplus2

2.导入I/O符号。选择Primitives →pin ,并修改引脚名称,链接节点。

3.编译设计电路,“Processing”→“Start Compliation”

4.编辑输入波形(输入激励信号)

5.启动仿真器,“Processing”→“Start Simulation”,观察仿真结果。

6.分配引脚,“Assignments”→“Assignment Editor”

五、实验结果

实验仿真图如下:

仿真波形图如下:

相关文档
最新文档