数字倒计时器设计
任意时间倒计时计数器的设计
任意时间倒计时计数器的设计摘要:本设计实现任意秒数倒计时的功能,首先利用555定时器连接电阻和电容改装成多谐振荡器,将输入脉冲经电路转换输出矩形波,并改变电容和电阻的参数使输出周期为1秒。
计数部分用74LS192芯片来实现,74LS192芯片是8421码计时的,符合任意几十秒读数的需要。
译码部分采用74LS48芯片,74LS48是把8421BCD码经过内部作用和电路“翻译”成七段输出,然后直接推动LED,显示十进制数。
此设计功能完善,可以直接清零,启动和暂停/连续计时, 进而实现断点计时,同时还应用了七段数码管来显示时间。
当计数器显示的数字递减到零的时候,会发出光电报警信号。
其设计由计时模块、控制模块、以及译码显示模块3个部分组成。
关键词:计时器;报警;芯片模块化The Design of Any Time Countdown CounterAbstract:The design implements the function of 30 seconds countdown , At first ,usea 555 timer concussion connected with resistor and capacitor converted into a multivibrator, which can inverter the input pulse into a circuit output square wave,and change the parameters of capacitance and resistance so that the output cycle is a second. The counting part is realized by 74ls192 chip,and 192 chip is timed at 8421 yards , which can meet the need of 30 seconds reading. Decoding part adopts 74ls48 chip, 74ls48 is at the 8421 BCD by internal function and circuit "translate" into seven period of output, then directly promote LED, and shows a decimal number.The function of this design is perfect, it can directly reset, start and stop/continuous time, then realize breakpoint time, at the same time it also uses seven digital tube to display time. When the counter of the digital display diminishing to zero, it will have a photoelectric alarm signal. It is designed by hourly module, control module, and decode display module 3 parts.Keywords: The timer photoelectric; Alarm; Modular目录第一章绪论 (1)1.1课题背景与意义 (1)1.2论文的主要内容 (1)1.3论文的基本要求 (1)第二章电路设计 (2)2.1电路设计方案 (2)2.2工作原理 (3)第三章倒计时计数器元器件介绍 (5)3.174LS48芯片 (5)3.274LS192芯片 (7)3.3555振荡电路 (8)3.4单元模块 (9)3.4.1时钟模块 (9)3.4.28421BCD码递减计数器模块 (11)3.4.3译码显示模块 (12)3.4.4报警电路模块 (14)第四章电路仿真及其未来展望 (15)4.1电路仿真 (15)4.2系统仿真结果 (15)4.3不足之处与未来展望 (17)第五章总结 (18)参考文献 (19)第一章绪论1.1课题背景与意义随着科学技术和计算机应用方面的不断发展,计时器在许多领域中得到普遍应用,如体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来作为各种药丸、药片、胶囊在指定时间提醒用药等等,由此可见计时器对现代社会的意义是何其重大。
基于C52的LED数字倒计时器的设计
基于C52的LED数字倒计时器的设计由于倒计时本身的特点,在现实生活中很多领域中都需要用到倒计时,因此对倒计时进行研究具有重要的意义。
作者通过对传统的倒计时钟的研究,提出了一种新的基于C52单片机设计倒计时的方法,具有简单,灵活,方便地特点。
一、系统设计功能利用C52单片机的定时器T0、T1中断,T0控制倒计时时间,T1控制闹钟定时. 按下矩阵键盘按键6(开始/暂停)后,进行时间显示,再次按下按键6,倒计时开始,从24:00:00开始,按键0、1、2、3、4、5分别控制小时的加一减一、分钟的加一减一、秒的加一减一.按键7存储闹钟时间,按键6控制开始和暂停。
二、LED数码显示原理及结构LED数码显示有动态和静态之分,本文设计的系统采用动态显示的方法,该方法特点是将所有位数码管的段选线并联在一起,由位选线控制是哪一位数码管有效。
这就没必要每一位数码管配一个锁存器,这能够简化硬件电路,提高系统稳定性。
本文设计LED数码管采用共阴极的方法设计完成,其电路机构如图1所示,其在单片机程序中编码表如表1所示。
三、系统原理图系统在设计的原理图在Protel中设计完成,具体的电子器件,均在途中做出来了具体表示,具体如图2所示。
四、结论文章设计完成了基于C52单片机的LED数字倒计时的设计过程,通过系统测试表明,该系统能够实现预期目标和功能。
参考文献:[1]张俊谟.单片机中级教程[M].北京:北航出版社,2008.[2]郭天祥.新概念51单片机C语言教程[M].北京:电子工业出版社,2009.[3]张友德等.单片微型机原理、应用与实验[M].上海复旦大学出版社,2000.[4]杜洋.爱上单片机[M].北京:人民邮电出版社,2011.作者简介:余世干(1982- ),男,汉族,安徽定远人,阜阳师范学院教师,硕士,研究方向:嵌入式系统开发。
基金项目:阜阳师范学院自然科学研究项目(2010FSKJ15,2010FSKJ16)。
基于单片机的LED数字倒计时器设计(精)
编号毕业设计技术报告课题名称:学生姓名:学号:专业:班级:指导教师:2012年月摘要:随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便生活的自动控制系统进入了人们的生活,以单片机为核心的自动门控制系统就是其中之一,也标志自动控制领域成为了数字化时代的一员。
本文介绍了一种利用单片机设计的秒倒计时器, 具体介绍了硬件的电路设计、接口技术和软件的设计方法。
该方案具有一定的实用性和使用上的方便性。
其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的使用和参考价值。
关键词: 自动控制系统单片机秒倒计时Abstract :With the development of society, science and technology progress and gradually improve the living standards of people, all sorts of convenient life of automatic control system into people's life, based on single-chip automatic control system is one of them, also marks the automatic control field became a member of the digital age.This paper introduces a design of second countdown timer by using single-chip microcomputer as well as the methods of designing its hardware circuit , connector andsoftware circuit. Key words : automatic control system single-chip microcomputer second countdown timer前言在社会生活和生产的各个领域中,凡是有自动控制要求的地方都会和单片机扯上关系。
数字倒计时器设计PPT学习教案
▪ 74LS00, ▪ 74LS192, ▪ 74LS48,
▪ 555定时器
主要参考器件
第8页/共13页
设计要求
▪ 调研,查找并收集资料。 ▪ 采用TTL集成电路设计。 ▪ 总体设计 - 画出框图。 ▪ 单元电路设计 - 选择、计算确定元器件参数如电阻值、电容量。 ▪ 电气原理设计-绘电路图。用MULTISIM进行仿真并调试结果。 ▪ 元器件选择-列出元器件明细表,元器件型号、规格(如电阻的阻值、精度、
第5页/共13页
译码显示器 要把二进制代码用十进制数直观地显示出来,需要用二 - 十进制显示译码器,
把8421BCD译成能用数码显示器件显示的十进制数。 显示译码器通常包含译码驱动器和数码显示器两部分。
➢ 译码驱动器的主要功能是把8421BCD码译成对应于数码管7个字段的信号, 用于驱动数码管发光,显示相应的十进制数码
➢ 数码管是一种分段式数码显示器件,将十进制数码分成7个字段,每个字段是 一个LED管,还有一个小数点发光二极管。
第6页/共13页
控制电路
▪ 在操作直接清零开关时,要求计数器清零,数码显示器 灭灯 ▪ 当启动开关闭合时,控制开关应封锁时钟信号CP,同时 计数器完成置数功能,译码显示电路显示预置数 ▪ 当启动开关断开时,计数器开始计数 ▪ 当暂停/连续开关拨在暂停位置上时,计数器停止计数, 处于保持状态 ▪ 当暂停/连续开关拨在连续时,计数器继续累计计数 ▪ 外部操作开关都应采取去抖动措施,以防止机械抖动造成 电路工作不稳定
根据误差≤0.1s要求,秒脉冲发生器要发出最多0.1秒的脉冲信号。 脉冲发生器有两种方案可以选择 ➢ 555多谐振荡器 555定时器使用灵活方便,利用它可以极方便地构成多谐振荡器。但它的振荡
毕业设计25倒计时器
毕业设计25倒计时器倒计时器是一个常见的时间管理工具,它可以帮助人们在限定的时间内有效地完成任务。
在毕业设计中设计一个倒计时器是一个有趣和实用的项目。
本文将介绍一个毕业设计的案例:设计一个倒计时器,用于倒计时到毕业的日子。
设计目标:1.实现一个简单易用的倒计时器,可以根据用户输入的日期和时间开始倒计时。
2.提供倒计时的天、小时、分钟和秒的显示,以及剩余总时间的显示。
3.提供设置倒计时完成后的提醒功能,可以通过声音或震动提醒用户。
设计思路:1.用户界面设计:-添加一个日期和时间输入框,用于用户输入倒计时的目标日期和时间。
-添加一个开始按钮,用户点击后开始倒计时。
-添加一个倒计时显示区域,用于显示倒计时的天、小时、分钟和秒。
-添加一个总时间显示区域,用于显示剩余的总时间。
2.倒计时逻辑设计:-获取用户输入的日期和时间。
-计算当前时间和目标时间之间的时间差。
-将时间差分解为天、小时、分钟和秒,并在对应的显示区域显示。
-每间隔一秒更新一次倒计时显示。
-当时间差为零时,倒计时停止。
3.提醒功能设计:-添加一个设置提醒的选项框。
-当倒计时结束时,根据用户设置的提醒选项进行相应的提醒:声音或震动。
代码实现:可以使用编程语言如Python、JavaScript或C++来实现这个倒计时器。
以下是一个使用Python的简单示例:```pythonfrom playsound import playsounddef countdown(target_date):while True:print("Countdown finished!")# play sound or vibrate according to user's settingplaysound("sound.mp3")break# display countdownminutes, seconds = divmod(rem, 60)year = int(input("Enter year: "))month = int(input("Enter month: "))day = int(input("Enter day: "))hour = int(input("Enter hour: "))minute = int(input("Enter minute: "))# start countdowncountdown(target_date)```总结:设计一个倒计时器需要考虑用户界面设计、倒计时逻辑设计和提醒功能设计。
二十四秒倒计时器的设计(数字电子技术)
数字电子技术设计性实验方案设计题目:24秒倒计时器分院物理与电子工程学院专业物理学班级2010级物本(1)班学号2010405270姓名龙大洪2013年6月23日目录0 设计要求 (1)1 设计思路 (1)1.1 设计方框图 (1)1.2 元件选用 (2)2 电路及工作原理 (2)2.1 电路图 (2)2.2 工作原理 (3)2.2.1 预置数据 (3)2.2.2个位减计数 (3)2.2.3十位减计数 (3)2.2.4脉冲封锁电路 (3)0 设计要求一、计数开始时数码显示为24二、计时开始后数码显示由24递减三、计时到00时停止计时四、秒脉冲可直接用实验箱1HZ信号1 设计思路1.1 设计方框图十位减计数个位减计数1HZ减计数脉冲借位脉十位数码管个位数码管预置数预置数输入预置数封锁脉冲电路与非预置数减计数1.2 元件选用减计数器使用74LS192十进制可逆计数器;数码管选用实验箱带有CD4511的数码管;秒脉冲可直接用实验箱的1HZ时钟信号;与非门选用74LS00。
2 电路及工作原理2.1 电路图2.2 工作原理2.2.1 预置数据十位:D3D2D1D0=0010 数码管显示的十位是2个位D3D2D1D0=0100 数码管显示的个位是42.2.2个位减计数个位器件74LS192如下接:CR=0,LD=1,CPu=1,CPd接脉冲。
个位数字由9减到0循环2.2.3十位减计数十位器件74LS192如下接:CR=0,LD=1,CPu=1,CPd接个位BO借位端。
十位数字由2减到0。
(注:当个位十位为00时由脉冲封锁,不在进行减计数。
)2.2.4脉冲封锁电路本电路封锁脉冲直接由两个与非门构成接CPd 个位1HZ脉冲VCC5VU6A74LS00DU7A74LS00DVCC2143接BO十位当十位个位为00时,由十位BO端输出为低电平,再与1HZ脉冲经过如上电路,使得CPd(个位)输入为零。
脉冲不在起作用,显示00不在自动进行减计数,直到重新置数。
数字电子技术课程设计篮球比赛24秒倒计时器设计
数字电子技术课程设计篮球比赛24秒倒计时器设计1.2电路设计方案:24秒倒计时的总体方案框图如图所示,它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。
其中计数器和控制电路使系统的主要模块。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动技术、暂停和连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。
译码显示电路由自带译码器的数码管组成。
报警电路在试验中可用发光二极管和蜂鸣器代替。
主体电路:24秒倒计时。
24秒计数芯片的置数端清零端共有一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器倒数计时开始进行倒计时,逐秒倒计时到零。
选取00这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。
2.各芯片的用法与功能2.174LS19274LS192是十进制计数器,具有异步清零和异步置数功能,且有进位和借位输出端。
当需要进行多级扩展连接时,只要将前级接到下一级的CP端,端接到下一级的CP端即可。
74LS192功能表: 2.2555定时器555定时器应用为多谐振荡电路时,当电源接通Vcc通过电阻R1,R2向电容C充电,其上电压按指数规律上升,当u上升至2/3Vcc,会使比较器C1输出翻转,输出电压为零,同时放电管T导通,电容C通过R2放电;当电容电压下降到1/3Vcc,比较器C2工作输出电压变为高电平,C放电终止,Vcc通过R1,R2又开始充电;周而复始,形成振荡。
则其振荡周期与充放电时间有关,也就是与外接元件有关,不受电源电压变化影响。
输出波形的振荡周期可用过度过程公式计算: 3.各部分电路设计3.1信号发生部分:秒脉冲的产生由555定时器组成的多谐振荡电路完成。
数字显示30秒倒计时器课程设计报告
电子技术课程设计报告设计题目:数字显示30秒倒计时器电路设计1、课程设计目的、意义设计目的:(1)依照原理图分析各单元电路的功能;(2)熟悉电路中所用到的1各集成块的1管脚及其功能;(3)进行电路的装接、调试,直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告。
设计意义:数字显示30秒倒计时器是一个简单的数字电路,但是它能够扩展到很多实际应用当中来,比如篮球倒计时器、交通灯倒计时器等等。
2、设计题方案比较、论证设计方案:分析设计任务,计数器和操纵电路是系统的要紧部份。
计数器完成30秒计时功能,而操纵电路具有直接操纵计数器的启动设计、译码显示电路的显示和灭灯功能。
当启动开关闭合时,操纵电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示“30”字样;当启动开关断开时,计数器开始计数;处于维持状态。
系统设计框图如图2-1所示。
数字显示30秒倒计时器实验电路如图2-2所示。
图2-1图2-23、各单元电路设计,元器件参数计算、选择、电路图绘制,整体电路图递减计数器模块计数器选用汇总规模集成电路74LS192进行设计较为简单,74LS192是十进制可编程同步加锁计数器,它采纳8421码二-十进制编码,而且有直接清零、置数、加锁计数功能。
图2-3是74LS192外引脚。
图中CPU 、CPD别离加计数、减计数的时钟脉冲输入端(上升沿有效)。
LD是异步并行置数操纵端(低电平有效),CO、BO别离是进位、借位输出端(低电平有效),CR是异步清零端,D3-D是并行数据输入端,Q3-Q是输出端。
74LS192的功能表见下表所示。
秒信号产生器秒信号产生器的电路是利用 555 按时器(图3-1)组成的秒信号发生器。
NE555 芯片有单稳态电路功能,可发生方波信号,可适当的选择电阻、电容,使其输出信号的周期为 1 秒。
本电路输出脉冲的周期为:T=*(R1+2*R2)*C,假设 T=1s,令 C=10μF,R1=39kΩ,那么R2=51k Ω。
24秒倒计时器的设计和制作
24秒倒计时器的设计和制作设计和制作一个24秒倒计时器可以分为硬件设计和软件设计两个部分。
硬件设计:1. 选择一个适合的开发板或者单片机作为控制器。
常见的选择有Arduino、Raspberry Pi等。
2.连接一个LCD显示屏,用于显示倒计时的时间。
3.连接一个按钮,用于启动倒计时。
4.使用一个蜂鸣器或者其他声音装置,用于倒计时结束时发出提示音。
软件设计:1. 在选定的控制器上,使用相应的开发环境进行编程。
例如使用Arduino IDE进行Arduino编程。
2.编写倒计时函数,用于倒计时的逻辑。
3.编写LCD显示函数,用于在LCD上显示倒计时的时间。
4.编写按钮检测函数,用于检测按钮的按下事件。
5.编写蜂鸣器控制函数,用于在倒计时结束时发出提示音。
下面是一个简单的伪代码示例,展示了如何实现一个24秒倒计时器:```cpp#include <LiquidCrystal.h> // 引入LCD库LiquidCrystal lcd(12, 11, 5, 4, 3, 2); // 初始化LCD引脚const int buttonPin = 6; // 按钮引脚const int buzzerPin = 7; // 蜂鸣器引脚int buttonState = 0; // 按钮状态int countdownTime = 24; // 倒计时时间void setulcd.begin(16, 2); // 设置LCD行数和列数pinMode(buttonPin, INPUT); // 设置按钮引脚为输入pinMode(buzzerPin, OUTPUT); // 设置蜂鸣器引脚为输出void loobuttonState = digitalRead(buttonPin); // 读取按钮状态if (countdownTime > 0 && buttonState == HIGH) countdownTime--; // 倒计时减1秒displayTime(countdownTime); // 显示倒计时时间delay(1000); // 延迟1秒}if (countdownTime == 0)tone(buzzerPin, 1000, 500); // 发出提示音digitalWrite(buzzerPin, LOW); // 停止提示音delay(1000); // 延迟1秒countdownTime = 24; // 重置倒计时时间}lcd.setCursor(0, 0); // 设置LCD光标位置为第一行第一列lcd.print("Countdown: "); // 显示文本```这个示例使用了Arduino控制器和连接了16x2 LCD显示屏展示倒计时时间。
倒计时计时器设计
一.设计任务和要求倒计时计时器的用途很广泛。
它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。
它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。
用三个可预置数的减计数器组成三个二-十进制减计数器。
用三个译码器和三个LED数码显示器,COMSS电路组成秒/分选择器。
另外有控制电路,控制器随着计数器计数的状态发生改变,计时期间,用电气开关断开。
当计时完毕时,用电气开关闭合。
(1)用三个可预置数的减计数器组成三个二-十进制减计数器。
(2)用三个译码器和三个LED数码显示器,COMSS电路组成秒/分选择器。
二.设计的作用与目的(1) 实现定时开或者定时关,最长定时时间为999分钟。
(2)用做倒计时记数,最长记时时间为999秒。
1三.倒计时计时器的设计1.倒计时计时器系统概述用时钟脉冲发生器来产生频率为1Hz的脉冲,即输出周期为1秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的CP减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,或者将该方波脉冲信号送到减法计数器CD40110的CP减计数脉冲端,通过计数器把8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动和暂停/连续、译码显示电路的显示。
在74LS192输入端设置4个开关,通过开关的高低电平状态从而实现999秒内任意时间的倒计时。
在电路中加入停止器使其倒计时到000时停止计时并且蜂鸣器响。
系统设计框图如图12图1系统设计框图2. 555定时器制成多谐振荡器多谐振荡器是一种自激振荡器,接通电源后不需外加触发便能产生矩形脉冲。
我们用555定时器构成多谐振荡器的原理很简单,只要将施密特触发器的反相输出端经RC积分电路接回输入端即可。
数字电路技术基础-倒计时器实验
倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。
通过key1控制切换计数与暂停状态。
当key1按下时,开始倒计时,再次按下,暂停计时。
通过key2控制置初值。
暂停状态下,当key2按下时,回到初始状态,数码管显示2500。
设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。
提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。
使用课前预习的状态机模块,控制25分钟倒计时模块。
调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。
1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。
LED数字倒计时器课程设计报告
淮阴师范学院物理与电子电气工程学院课程设计报告学生姓名学号班级专业电子信息科学与技术题目LED数字倒计时器指导教师2015 年11 月一、设计任务与要求近年来随着计算机在社会领域的渗透,单片机的应用正在不断深入人们的生活,同时带动传统控制检测日新月异。
在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面的知识是不够的,还应根据具体硬件结构,针对具体应用特点与软件结合。
本项目讨论了LED 数字倒计时器的设计与制作,此方案线路简单,成本低,应用前景广阔。
本次设计的任务与要求是,使基于AT89C51单片机的LED数字倒计时器主要具有如下功能:(1)LED数码管显示倒计时时间。
(2)倒计时过程中能设置多个闹钟,当倒计时值倒计到设定值时会发出约2s 的报警声音。
(3)通过按键可以对倒计时设定初值。
倒计时初始值范围在24:00:00-00:00:60之间,用户可根据需要对其进行设置,设置成功后复位初始值为成功设定值。
二、硬件电路设计设计电路采用模块化设计,主要由AT89C51单片机、复位电路、LED显示模块和控制模块组成(如图2.1)。
图2.1 基于AT89C51单片机的数字倒计时器系统框图图2.2 基于AT89C51单片机的数字倒计时器电路原理图(元件清单见附录一)(2)AT89C51单片机控制模块图2.3 单片机控制模板(3)复位电路复位是单片机的初始化操作,只需给AT89S51的复位引脚RST加上大于2个机器周期(即24个时钟振荡周期)的高电平就可得单片机复位,复位时,PC初始化为0000H,使单片机从OUT单元开始执行程序。
除了进入系统的正常初始化之外由于程序运行出错或操作错误而使系统处于死锁状态,为摆脱死锁状态,也需按复位键使得RST脚为高电平,使单片机重新启动。
图2.4复位电路(4)LED显示模块图2.5 LED显示模块(5)按键电路按键电路的按键功能说明:(1)K1用于设置时间的分钟。
at89c51单片机led数字倒计时器课程设计论文__大学毕业论文设计
课题: AT89C51单片机LED数字倒计时器专业:班级:学号:姓名:指导教师:设计日期:成绩:重庆大学城市科技学院电气学院目录一、设计目的作用 (1)二、设计要求 (1)三、设计的具体实现 (1)1、设计原理 (1)(1)系统设计方案 (1)(2)功能模块 (2)(3)工作原理: (2)2、系统设计 (2)(1)显示模块 (2)(2)晶振模块 (3)(3)复位电路: (3)(4)按键模块: (4)(5)报警模块: (5)3、系统实现 (5)(1)实物图 (5)(2)分析 (6)四、总结 (6)五、附录 (7)附录1: (7)附录2: (8)附录3: (8)六、参考文献 (15)LED 数字倒计时器设计报告一、设计目的作用1、掌握51单片机最小系统的设计;2、掌握按键电路设计、LED 数码管的使用;3、掌握C51的编程方式。
二、设计要求基于AT89C51单片机的LED 数字倒计时器主要具有如下功能,具体要求如下:1、LED 数码管显示倒计时时间。
2、倒计时过程中能设置多个闹钟,当倒计时值倒计到设定值时会发出2s 的报警声音。
(K1设置小时,K2设置分钟,K3设置秒钟,K4完成退出)3、通过按键可以对倒计时设定处置。
倒计时初值范围在24:00:00~00:00:60之间,设置成功后复位初始值为成功设定值。
三、设计的具体实现1、设计原理(1)系统设计方案:基于AT89C51单片机的数码管显示模块显示的倒计时器。
主要是以单片机来控制,用按键来设定倒计时初始时刻的值,数码管作为显示模块来显示剩余的时间。
此电路对于倒计时器中的LED 数码管示器来说,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。
显示模块晶振模块复位模块按键模块报警模块图1 LED数字倒计时器设计框图(2)功能模块:倒计时器的总体包括显示电路,按键电路,复位电路,晶振电路和报警电路等五个模块。
显示模块显示计数与灭灯,复位模块控制电路完成计数的直接清零,暂停/连续技术,用按键模块来设定倒计时初始时刻的值,报警模块实现定时时间到报警等功能。
led数字倒计时器设计自我总结
led数字倒计时器设计自我总结LED数字倒计时器是一种常见的倒计时装置,它通过使用LED(发光二极管)来显示倒计时的时间。
设计一个LED数字倒计时器不仅需要掌握电子电路的知识,还需要了解数字显示技术和计时原理。
在设计和制作过程中,我遇到了一些挑战,但通过不断努力和学习,我成功地完成了LED数字倒计时器的设计。
我需要确定倒计时的时间范围和精度。
LED数字倒计时器可以根据需求设计不同的时间范围,例如从1分钟到24小时等。
在我的设计中,我选择了一个常见的时间范围,从1分钟到60分钟。
为了提高倒计时的精度,我采用了时钟模块来提供准确的时间基准。
接下来,我需要选择适当的LED显示器来显示倒计时的时间。
LED 显示器可以分为共阳极和共阴极两种类型。
在我的设计中,我选择了共阳极的LED显示器。
为了实现倒计时功能,我需要控制LED显示器的每个数码管的每个数字的亮灭。
通过使用逻辑门和计数器等电子元件,我成功地实现了LED数字倒计时器的数字显示功能。
为了使倒计时器更加实用和易于操作,我还添加了一些附加功能。
例如,我增加了开始、暂停和复位按钮,以控制倒计时的启动、暂停和重置。
我还添加了一个蜂鸣器,用于在倒计时结束时发出警报声。
通过这些附加功能,LED数字倒计时器不仅可以简单地显示倒计时的时间,还可以提供更多的操作和警示功能。
在完成LED数字倒计时器的设计后,我进行了一系列的测试和调试。
我验证了倒计时功能的准确性和稳定性,并确保LED显示器的亮灭正常。
同时,我还测试了按钮和蜂鸣器的功能,以确保它们能够正常工作。
通过这些测试和调试,我确保了LED数字倒计时器的正常运行和可靠性。
在这个设计过程中,我学到了很多关于电子电路和数字显示技术的知识。
我深入了解了LED显示器的工作原理和控制方法,并学会了使用逻辑门和计数器等电子元件来实现数字显示和倒计时功能。
通过解决各种问题和挑战,我提高了自己的解决问题的能力和创新能力。
通过设计LED数字倒计时器,我不仅加深了对电子电路和数字显示技术的理解,还提高了自己的实践能力和创新能力。
天数倒计时数字电子技术课程设计
综述计时器,是用特定的原理来测量时间的装置,在我们生活中随处可见,如电子秒表、微波炉倒计时器、比赛计时器等,本设计将采用555定时器、减法器、与门、或非门、LED数码显示管等器件,完成一个天数倒计时功能的设计,并用multisim软件进行仿真,确保计时器的可行性。
1.器件选择和基本电路1.1主要器件的选择本设计采用74ls190作为主要器件,74ls190是同步十进制加、减计数器,因设计需要,主要用其减计数功能。
74ls190的引脚排列和功能表见图1-1,功能表如图1-2图1-174ls190的引脚排列图1-274ls190的功能表1.2 脉冲发生电路脉冲发生装置主要由555定时器组成,555定时器是一种多用途的数字 - 模拟混合集成电路,利用它可以极方便地构成施密特触发器、单稳态触发器和多谐振荡器。
由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制等许多领域中都得到了应用。
该设计用555定时器构成单稳态触发器,并可通过改变R1、R2和C2来控制输出频率。
555定时器的引脚排列如图1-3,用555定时器组成的脉冲发生装置如图1-4,该脉冲发生装置发出的脉冲如图1-5。
图1-3 555定时器的引脚排列U1LM555CM GND1DIS 7OUT 3RST 4VCC 8THR 6CON5TRI 2VCC5VC110nFXSC1ABG TR2100kOhm_5%C2100nFR140.2kOhm_1%图1-4 用555定时器组成的脉冲发生装置图1-5 脉冲发生装置发出的脉冲1.3 减计数器为了实现减计数的功能,由74ls190功能表可知,须使引脚5与11接高电平,引脚4接低电平,并通过引脚14输入脉冲,此处暂用V1代替。
同时,为了设置预置数为9,须将引脚9与15也接至高电平,预置输入端为二进制1001,即十进制的9。
由引脚3、2、6、7引出接线接至LED数码管的四个输入端上。
接线如图1-6所示。
LED数字倒计时器实验报告
淮阴师范学院物理与电子电气工程学院课程设计报告学生姓名靖洋学号171206011班级12级4班专业电子信息科学与技术题目LED数字倒计时器指导教师魏东旭、陈勇2014 年12 月一、设计任务与要求LED倒计时器设计以AT89S52单片机为核心,系统包括6位数码管显示电按键电路、电源电路、复位电路、晶振电路及蜂鸣器电路几部分。
要求:1)LED数码管显示倒计时时间;2)倒计时过程中能设置多个闹钟,当倒计时值倒计到定值时会发出约2s的报警声音;3)通过按键可以对倒计时设定初值,倒计时初始值范围在24:00:00---00:00:60之间,用户可根据需要对其进行设置,设置成功后复位初始值为成功设定值。
二、框图设计2.1 LED数字倒计时器主要由AT89C51单片机、晶振电路、复位电路、按键电路、数码管电路、蜂鸣电路组成(如图2.1)。
图2.1 LED数字倒计时器系统设计框图2.2 晶振电路分析1)晶振电路原理:晶振是通过电激励来产生固定频率的机械振动,而振动又会产生电流反馈给电路,电路接到反馈后进行信号放大,再次用放大的电信号来激励晶振机械振动,晶振再将振动产生的电流反馈给电路,如此这般。
当电路中的激励电信号和晶振的标称频率相同时,电路就能输出信号强大,频率稳定的正弦波。
整形电路再将正弦波变成方波送到数字电路中供其使用。
2)晶振电路的特点:晶振是石英振荡器的简称,英文名为Crystal,晶振分为有源晶振和无源晶振两种,其作用是在电路产生震荡电流,发出时钟信号。
它是时钟电路中最重要的部件,它的作用是向IC等部件提供基准频率,它就像个标尺,工作频率不稳定会造成相关设备工作频率不稳定,自然容易出现问题。
由于制造工艺不断提高,现在晶振的频率偏差、温度稳定性、老化率、密封性等重要技术指标都很好,已不容易出现故障,但在选用时仍可留意一下晶振的质量。
图2.2晶振电路原理图2.3 复位电路的分析1)复位电路的原理:系统上电时提供复位信号,直至系统电源稳定后,撤销复位信号。
倒计时数字秒表设计 (2)
课程设计任务书倒计时数字秒表设计摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。
本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。
软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。
关键词:倒计时; AT89S52; 74LS47; 数码管目录1. 设计背景.......................................................................... 错误!未定义书签。
2. 设计方案.......................................................................... 错误!未定义书签。
2.1总体设计框图........................................................... 错误!未定义书签。
2.2时钟电路方案选择................................................... 错误!未定义书签。
2.3复位电路方案选择................................................... 错误!未定义书签。
2.4显示电路方案选择................................................... 错误!未定义书签。
3. 方案实施.......................................................................... 错误!未定义书签。
LED数字倒计时器单片机课程设计
学会使用单片机开发工具,如Keil uVision等
掌握单片机中断、定时器、串口等常用 外设的使用方法
学会编写模块化、可重用的代码,提高 编程效率
学会调试和优化代码,提高程序运行效 率和稳定性
LED数字倒计时 器硬件设计
电路原理图设计
电源模块:提供稳定的电源电压 控制模块:控制LED数字倒计时器的工作状态 显示模块:显示倒计时时间 输入模块:接收用户输入信号,启动倒计时 输出模块:输出倒计时信号,驱动LED显示 保护模块:保护电路免受过压、过流等异常情况的影响
屏幕上
倒计时:将倒 计时时间减1, 并更新显示时
间
循环结束:当 倒计时时间减 至0时,循环结 束,显示“时
间到”信息
定时器中断服务程序
定时器中断服务程序的功能:实现LED数字倒计时器的定时功能
定时器中断服务程序的结构:包括定时器初始化、定时器中断处理、定时 器复位等部分
定时器初始化:设置定时器的工作模式、定时器周期、定时器中断优先级 等参数
电路板制作: 使用PCB软件 进行电路板制
作
元器件焊接: 将元器件焊接
到电路板上
电路板测试: 测试电路板功
能是否正常
LED数字倒计时 器软件设计
主程序流程图
初始化:设置 倒计时器参数, 如倒计时时间、
显示位数等
循环判断:判 断倒计时时间 是否为0,如果 为0则停止倒计
时
显示倒计时: 将倒计时时间 转换为数字, 并显示在LED
课程设计总结与 展望
设计总结与体会
课程设计过程: 从理论学习到实 践操作,逐步深 入
设计难点:解决 LED数字倒计时 器单片机的编程 和调试问题
设计收获:掌握 了单片机的基本 原理和编程技巧
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
■预置倒计时范围:Is - 9m59s。
■误差<O.ls ■用三位LED数码管显示计时功能具有完成计时功能后直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能
糾设计原理
该电路秒脉冲发生器.计数器.译码显示电路、告警电路(结束指示电路)和辅助时序控制电路等五部分组成
■计数器和控制电路是系统的主要部分;
秒脉冲发生器
根据误差< 0. Is要扎秒脉冲发生器要发出最多0. 1秒的脉冲信号。
脉冲发生器有两种方案可以选择
> 555多谐振荡器
555定时器使用灵活方便,利用它可以极方便地构成多谐振荡器。
但它的振荡频率主要取决于充放电过程中达到转换电平所需要的时间,所以频率稳定性不够高;另外,由555定时器接成的振荡器在频率范围方面有较大的局限性。
石英晶体多谐振荡器
石英晶体多谐振荡器的振荡频率取决于石英晶体的固有谐振频率几而与外接电阻.电容无关。
石英晶体的谐振频率由石英晶体的结晶方向和外形尺寸所决定,具有极咼的频率稳定性。
计数器
计数器是系统的主要部分,完成倒计时功能。
-预置倒计时的范围是1秒一9分59秒。
-计数器采用减法计数器
具有预置数电路设计
译码显示器
要把二进制代码用十进制数直观地显示出来,需要用二-十进制显示译码器,把8421BCD译成能用数码显示器件显示的十进制数。
显示译码器通常包含译码驱动器和数码显示器两部分。
-译码驱动器的主要功能是把8421BCD码译成对应于数码管7个字段的信号,用于驱动数码管发光,显示相应的十进制数码夕数码管是一种分段式数码显示器件,将十进制数码分成7个字段,每个字段是一个LED管,还有一个小数点
发光二极管。
控制电路
■在操作直接清零开关时,要求计数器清零,数码显示器灭灯■当启动开关闭合时,控制开关应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示预置数
当启动开关断开时,计数器开始计数
当暂停/连续开关拨在暂停位置上时,计数器停止计数, 处于保持状态
・当暂停/连续开关拨在连续时,计数器继续累计计数
■外部操作开关都应釆取去抖动措施,以防止机械抖动造成电路工作不稳定
■74LS00,
74LS192,
74LS48, ■555定时器
糾设计要求
■调研,查找并收集资料。
■采用TTL集成电路设计。
■总体设计-画出框图。
单元电路设计-选择.计算确定元器件参数如电阻值.
电容量。
电气原理设计-绘电路图。
用MULT] SIN进行仿真并调试结果。
■元器件选择-列出元器件明细表,元器件型号.规格
(如电阻的阻值、精度、额定功率等).其他参数。
枣盖・列右金侖
* +黔节节<»
枣盖・列右金侖
* +黔节节<»
■焊接电路并调试,测试性能指标。
撰写设计说明书。
■参考资料目录。