EDA复习的知识要点1
EDA技术复习题要点
这是一份vhdl的复习题,考试题大多数都来自此处,一、EDA名词解释1、ASIC:专用集成电路(Application Specific Integrated Circuits)2、EDA:电子设计自动化(Electronic Design Automation)3、P ROM:可编程只读存储器(Programmable Read Memory)4、IP:知识产权核(Intellectual Property )5、SOC:片上系统(System On Chip)6、VHDL:超高速集成电路硬件描述语言(VHSIC Hardware Description Language)。
7、RTL:寄存器传输级(Register Transport Level)8、SOPC:可编程片上系统(System On Programmable Chip)9、PLD:可编程逻辑器件(Programmable Logic Array)10、GAL:通用阵列逻辑(Geniric Array Logic)11、FPGA:现场可编程门阵列(Field Programmable Gate Array )12、CPLD:复杂可编程逻辑器件(Complex Programmable Logic Device)二、简答题1、简述VHDL程序的基本结构。
库、程序包、实体、结构体、配置2、子程序分为那两类,其结构为什么。
子程序有两种类型,即过程(PROCEDURE)和函数(FUNCTION)。
FUNCTION 函数名(参数表)RETURN 数据类型--函数首FUNCTION 函数名(参数表)RETURN 数据类型IS -- 函数体[说明部分]BEGIN顺序语句;END FUNCTION 函数名;PROCEDURE 过程名(参数表) -- 过程首PROCEDURE 过程名(参数表) IS -- 过程体[说明部分]BIGIN顺序语句;END PROCEDURE 过程名;3、信号与变量的赋值有何区别?。
EDA复习资料
1.VHDL最基本的结构是什么?其作用各是什么?库(LIBRARY)声明:列出了当前设计中需要用到的所有库文件,如ieee,std和work 等。
实体(ENTITY):定义了电路的输入/输出引脚。
构造体(ARCHITECTURE):所包含的代码描述了电路要实现的功能。
2.in、inout 、buffer有何异同?IN 含义:输入INOUT 含义:说明该端口是双向的,可以输出也可以输入BUFFER 含义:说明该端口可以输出信号且在构造体内部可以使用该输出信号。
3.VHDL中有哪些基本的数据类型?哪些可以综合?a)位(BIT)和位矢量(BIT_VECTOR):位值用‘0'或‘1'表示。
b)STD_LOGIC和STD_LOGIC_VECTOR:它们是IEEE 1164标准中引入的8逻辑值系统。
c)布尔类型(BOOLEAN):只有两种取值,“真”或“假"。
d)整数(INTEGER):32位的整数(取值范围从-2 147 483 647到2 147 483 647 )e)自然数(NATURAL):非负的整数(从0到2 147 483 647 )f)实数(REAL): 实数的取值范围从-1.0x1038到1.0x1038,它是不可综合的g)物理量字符(Physical literal):用来表示诸如时间和电压等物理量。
在仿真时可以使用,但不可综合。
h)字符(CHARACTER)型:可以是单个或者一串ASCIl字符。
i)SIGNED(有符号数)和UNSIGNED(无符号数):它们是在ieee库std_logic_arith包集中定义的数据类型。
4.包集中定义了哪些数据类型转换函数?它们的适用范围是什么?在ieee库的包集std_logic_arith中提供的数据类型转换函数:a.conv_integer(p):将数据类型为INTEGER,UNSIGNED,SIGNED,STD_ULOGIC或STD_LOGIC的操作数P转换成INTEGER类型。
EDA技术复习资料(完全版)
EDA技术复习资料(完全版)EDA技术复习资料⼀、填空1、EDA设计流程包括设计准备、设计输⼊、设计处理、和器件编程序四个步骤。
2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。
3、EDA的设计输⼊主要包括⽂本输⼊⽅式、图形输⼊⽅式、和波形输⼊⽅式。
4、⽂本输⼊是指采⽤硬件描述语⾔进⾏电路设计的⽅式。
5、功能仿真实在设计输⼊完成以后,选择具体器件进⾏编译之前进⾏的逻辑功能验证,因此⼜称为前仿真。
6、时序仿真实在选择了具体器件并完成布局、布线之后进⾏的时序关系仿真,因此⼜被称为后仿真或延时仿真。
7、当前最流⾏的并成为IEEE标准的硬件描述语⾔包括VHDL、和VERILOG HDL。
8、EDA⼯具⼤致分为设计输⼊编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。
9、IEEE于1987年将VHDL采纳为IEEE#1076标准。
10、⽤VHDL语⾔书写的源⽂件。
即是程序⼜是⽂档,即是⼯程技术⼈员之间交换信息的⽂件,⼜可作为合同签约者之间的⽂件。
11、⽤VHDL设计的电路,既可以被⾼层次的系统调⽤,成为系统的⼀部分,也可以作为⼀个电路的功能快独⽴存在和独⽴运⾏。
12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。
13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。
14、根据VHDL语法规则,在程序中使⽤的⽂字、数据对象、数据类型都需要预先定义。
15、VHDL的实体由实体声明部分和结构体组成。
16、VHDL的实体声明部分制订了设计单元的输⼊输出端⼝或引脚,它是设计实体对外的⼀个通信界⾯,是外界可以看到的部分。
17、VDHL的结构体⽤来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。
18、在VHDL的端⼝声明语句中,端⼝⽅向包括IN、OUT、INOUT和BUFFER。
19、VHDL的数据型⽂字包括整数⽂字、实数⽂字、以数制基数表⽰的⽂字和物理量⽂字。
EDA复习要点
第1章 EDA技术概述1. EDA:EDA(Electronic Design Automation)电子设计自动化,EDA技术依赖于强大的计算机,在EDA工具软件平台上,对以HDL(Hardware Description Language--硬件描述语言)为系统逻辑描述手段完成的设计文件,自动完成逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。
2. EDA的设计输入有:图形输入方式:原理图输入,状态图输入;HDL文本输入:VHDL,Verilog3. 常用缩写FPGA(Field Programable Gate Araay)CPLD(Complex Programmable Logic Device)ASIC(Application Specific Interated Circuit)SOC(System on a Chip)SOPC(System-on-a-Programmable-Chip)HDL(Hardware Description Language)IP(Intellectual Property)CAD(Computer Aided Design)CAM(Computer Aided Manufacturing)CAT(Computer Aided Test)CAE(Computer Aided Engineering)CAA(Computer Aided Analysis)4.综合(Synthesis):将用行为和功能层次表达的系统转换成低层次的便于具体实现的模块组合装配过程。
整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。
5.适配:适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。
EDA基础知识复习要点
EDA基础知识复习要点EDA(探索性数据分析)是指对数据集进行初步的探索,以了解数据的特征、相互关系和隐藏的模式。
它是数据分析的重要环节,可以帮助我们发现数据中的特殊特征、异常值和缺失值,为后续的建模和决策提供基础。
下面是EDA基础知识的复习要点。
1.数据集的基本情况-数据集的大小和维度:了解数据集包含的样本数量和特征数量。
-数据类型和缺失值:检查每个特征的数据类型并确定是否存在缺失值。
-数据的摘要统计信息:计算每个特征的基本统计指标,如均值、中位数、标准差等。
-数据可视化:使用直方图、箱线图、散点图等可视化工具来展示数据的分布和异常值。
2.数据的清洗和预处理-处理缺失值:根据缺失值的情况选择适当的方法填充或删除缺失值。
-处理重复值:检查是否存在重复的样本或特征,并根据需要删除或合并重复值。
-异常值处理:通过设定阈值或使用统计方法来检测和处理异常值。
-标准化和归一化:对于数据集中的数值型特征,可以进行标准化或归一化处理,使其具有相同的尺度。
3.特征工程-特征选择:根据特征的重要性和相关性选择最相关的特征,减少特征的维度。
-特征构建:使用原始特征衍生出新的特征,例如添加多项式特征、交互特征等。
4.数据探索-变量间的关系:分析变量之间的相关性和因果关系,帮助了解特征之间的影响。
-群组分析:将数据集中的样本划分为不同的组群,发现数据的内在结构和模式。
-关键性因素:识别影响特定结果的重要因素,找到数据集中的关键趋势和影响因素。
5.可视化分析-直方图:显示定量变量的分布情况,帮助了解数据的偏态和尾部情况。
-箱线图:显示定量变量的中位数、上下四分位数和异常值,有助于观察数据的离散情况。
-散点图:显示两个变量之间的关系,帮助检测变量之间的线性关系或异常值。
-折线图:显示变量随时间变化的趋势,用于分析时间序列数据。
6.结果解释和报告-对EDA结果进行总结和解释,包括数据集的特点、重要特征、异常值等。
-以清晰和可视化的方式呈现结果,如使用图表、表格等形式。
EDA复习知识点
内容:前六章
考试形式:闭卷考试
“考核方法:平时成绩20%;实验课操
作 40%;最后考试 40%
1
1
复习要点
基础知识:理解EDA基本概念,常见的可编 程逻辑器件的工作原理; Verilog语法:掌握模块、运算符、条件语句、 循环语句、块语句的使用和设计方法,理解 阻塞和非阻塞赋值区别;
for语句
for语句的使用格式如下:
for(循环变量赋初值;循环结束条件;循环变量增 值) 执行语句;(或begin_end块语句)
repeat语句
repeat语句的使用格式为:
repeat(循环次数表达式) 语句; 或 repeat(循环次数表达式) begin …… end
填空题
Eg1. 现有的两种主要的硬件描述语言是 Eg2.定义一个名字为Q1的8位reg总线。 逻辑功能。
A B C D E
和
。
Eg3. 将题中的连续赋值语句补充完整以实现对应电路的
F
assign F= E
( ((A B)
(C D) ) )
20 20
填空题
Eg4.请在下面的空格中填入适当的符号使其描述右图模块 module Block1(a,
PLD器件的分类--按结构特点
基于乘积项结构的器件--阵列型
PROM,EEPROM,PAL,GAL,
CPLD
基于查找表结构的器件--单元型
FPGA
PROM PLA PAL
固定的“与”+可编程的“或” 可编程的“与”+可编程的“或” 可编程的“与”+固定的“或”
CPLD
基于乘积项可编程逻辑结构
HDL综合
EDA复习(1)
一、名词解释1逻辑综合——将电路的高级语言描述转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件的过程。
2. 逻辑适配——将由综合器产生的网表文件针对某一具体的目标器进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件的过程。
3 时序仿真——将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。
该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果二、填空题1. EDA的中文含义是电子设计自动化,CAD的中文含义是计算机辅助设计,FPGA的中文含义是现场可编程门阵列,CPLD的中文含义是复杂可编程逻辑器件。
2.CPLD在结构上主要分为三个部分,即可编程逻辑宏单元、可编程输入/输出单元和可编程内部连线三个部分。
3.VHDL的数据对象有常量、变量和信号三种。
VHDL程序设计中常用的库有 IEEE库、 STD库、WORK库和VITAL库。
4.VHDL是一种强数据类型语言,强数据类型的具体含义是:(1) 各参量必须具有确定的数据类型 (2)相同的数据类型的量才能进行操作。
5.IEEE_1076标准程序包中定义的四种常用端口模式分别是IN模式、OUT 模式、BUFFER模式和 INOUT 模式。
6 ISP的中文含义是在系统可编程特性,ASIC的中文含义是专用集成电路7常用的硬件描述语言有三种,分别是:(1) VHDL ;(2) Verilog ;(3)ABEL .8 最流行的第三方EDA工具有:逻辑综合性能最好的Synplify,仿真功能最强大的ModelSim9 VHDL的基本标识符就是以字母开头,不连续使用下划线,不以下划线“_”结尾的,由字母、数字以及下划线“_”组成的字符串10两种典型的状态机是摩尔状态机和米立状态机。
状态机的两种基本操作分别是状态机内部状态转换和产生输出信号序列三、问答题1.什么叫EDA技术?使用EDA技术进行电子系统设计有什么优点?答: EDA技术有狭义的EDA技术和广义的EDA技术之分。
EDA复习
1.EDA技术采用现代的设计方法——自顶向下的设计方法,分为三个主要阶段:系统设计、系统的综合优化和系统实现。
(传统设计方法为由底向上的设计方法)2.EDA的设计流程分为:设计输入、功能仿真、综合、适配、时序仿真与下载。
其中设计输入主要包括图形输入和硬件描述语言输入。
3.可编程逻辑器件的分类及原理:➢将以乘积项结构方式构成逻辑行为的器件称为CPLD,可分为3部分:宏单元、可编程连线和I/O控制块。
宏单元是基本结构,实现基本都是逻辑功能;可编程连线负责信号传递,连接所有的宏单元;I/O控制块负责输入/输出的电气特性,比如可以设定集电极开路输出、三态输出等。
➢将以查找表结构方式构成逻辑行为的器件称为FPGA,查找表简称LUT,其本质就是一个RAM。
目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16 x1的RAM。
4.Quartus II软件包的编程器是系统的核心,提供强大的设计处理能力,可通过添加特定的约束条件来提高芯片的利用率。
5.PLD的配置方式主要有:主动串行配置(AS)、主动并行升址和降址配置(APU/APD)、被动串行配置(PS)、被动并行同步配置(PPS)、被动并行异步配置(PPA)、JTAG配置方式等。
6.VHDL语言的特点:➢VHDL是工业标准的文本格式语言➢VHDL具有强大的描述能力➢VHDL能同时支持仿真与综合➢VHDL是一种并发执行语句➢VHDL支持结构化设计与top-down设计方法➢VHDL的描述与工艺无关7.VHDL语言的数据类型:a)预定义(标准)数据类型➢位(BIT)与位矢量(BIT_VECTOR)数据类型信号通常用一个位来表示,放在单引号中,如‘0’或‘1’;如果一个量一次可取多个位值,则定义为位矢量,是用双引号括起来的一组位数据。
如:“001100”➢布尔(BOOLEAN)数据类型只有两种状态:False和True,常用于逻辑函数,如相等(=)、比较(<)等中作逻辑比较。
EDA概念复习
1、ASIC: ASIC是英文Application Specific Integrated Circuit的缩写,其中文意思是“专用集成电路”,是面向专门用途的电路。
区别于标准逻辑、通用存储器、通用微处理器等电路。
2、SOPC:SOPC是英文System On a Programmable Chip的缩写,其中文意思是可编程片上系统,即采用可编程器件来完成一个系统的设计。
3、CPLD:CPLD是英文Complex Programmable Logic Devices的缩写,其中文意思是复杂可编程逻辑器件。
是一种用户可以对其进行编程的集成电路。
4、HDL: HDL是英文Hardware Description Language的缩写,其中文意思是硬件描述语言。
5、ISP: ISP是英文In System Programmability的缩写,其中文意思是在系统编程,即是指对器件、电路板、整个电子系统进行逻辑重构和修改功能的能力。
这种重构可以在制造之前、制造过程中、甚至在交付用户使用之后进行。
FPGA:FPGA是英文Field Programmable Gate Array的缩写,其中文意思是现场可编程门阵列,是一种用户可以对其进行编程的集成电路。
1.MAX7000主要由以下五个部分组成:逻辑阵列块(LAB)宏单元扩展乘积项可编程连线阵列(PIA)I/O控制块2.PLD器件的发展演变过程,按时间先后顺序排列是:PROM,PLA,PAL,CPLD。
3.简述什么是基于查找表的可编程逻辑结构,其实现逻辑功能的原理是什么?基于查找表的可编程逻辑器件的功能是通过查找表来实现的。
查找表(Look-Up-Table)简称为LUT,LUT本质上就是一个RAM。
目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。
当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。
EDA技术及应用(第2版)朱正伟复习资料
EDA技术及应用(第2版)朱正伟复习资料第一章1、EDA的定义:以计算机为工作平台,以EDA软件工具为开发环境,以硬件描述语言为设计语言,以ASIC为实现载体的电子产品自动化设计过程。
2、EDA的三大特征:硬件描述语言、系统级仿真、综合技术3、EDA的设计方法:自上而下4、EDA的核心:利用计算机完成电路设计的全程自动化5、常用的EDA工具及其作用:设计编辑器:一般支持图形输入,HDL文本输入,波形图输入等仿真器:完成行为模型的表达、电子系统的建模、逻辑电路的验证以及门级系统的测试HDL综合器:将软件描述与给定的硬件结构用某种网表文件的方式对应起来,成为相互的映射关系。
6、EDA的设计流程:设计输入(将设计的系统或电路按照EDA开发软件要求的文本方式或图形方式表示出来,并送入计算机的过程。
)第二章1、PLD的基本结构:输入缓冲器、与阵列、或阵列、输出缓冲器;电路的核心是由门电路构成的与阵列、或阵列,逻辑函数靠它们实现。
与阵列产生乘积项,或阵列产生乘积项之和。
2、PLD的分类:简单PLD:PROM:与门阵列固定,或门阵列可编程。
优点:价格低、易编程性能可预测。
不足:规模大、速度低、功耗高。
PLA:与阵列和或阵列均可编程。
特点使用灵活,运行速度慢,价格高,缺少高质量的支持软件,使用不广泛。
PAL:与阵列可编程,或阵列固定,即乘积项可若干,数目固定。
特点:性能速度较高。
有几种固定的输出结构,不同结构对应不同的型号。
GAL;即通用阵列逻辑器件,与阵列和PAL的类似,或阵列及输出寄存器则采用OLMC输出逻辑宏单元OLMC最多有8个或项,每个或项最多有32个与项。
复杂PLD:(1)CPLD-----复杂可编程逻辑器件(2)FPGA-----现场可编程门阵列(3)ISP-----在系统可编程逻辑器件3、PLD的互联结构:(1)确定型:除FPGA外的PLD器件布线每次相同。
(2)统计型:FPGA每次布线模式不同,设计者提出约束模式。
EDA复习提纲(安农大)
第1章1.什么是EDA技术?什么是狭义EDA?什么是广义EDA?P1基于计算机的电子设计自动化技术;侠义:用编程的方式在一块芯片内设计数字电路广义;用软件自动合计电子产品2.利用EDA技术进行电子系统设计的最终目标是什么?P2完成专用集成电路或印刷电路板的设计和实现3.IEEE标准化的HDL语言有哪两种?P4VHDL和Verilog4. EDA开发设计流程包含哪些步骤?各步骤的作用是什么?P7~10设计输入(将电子系统以一定的表达方式输入计算机),综合(将用行为和功能层次表达的电子系统转换为低层次的、便于具体实现的模块组合装配的过程),适配(将综合器产生的网表文件配置于指定的目标其中,是指产生最终的下载文件),仿真(根据一定的算法和仿真库对设计模拟,验证设计正确性,一边排除错误),编程下载5.可编程逻辑器件有哪些分类方法?各可分为哪几类?P11集成度(高低集成度)结构(查中表,乘积项)、编程工艺(熔丝,反熔丝,EPROM\EEPROM\SRAM\Flash)6.简单PLD中的PROM、PLA、查中表,乘积项PAL和GAL在结构上有何异同?与阵列只有PROM固定,或阵列只有GAL固定(其他都是可编程的)7.CPLD器件的最基本可编程单元是什么?由哪几部分组成?P16LC1、逻辑阵列2、乘积项选择矩阵3、可编程寄存器8.FPGA器件的最基本可编程单元是什么?由哪几部分组成?P19LE1、一个四输入的查找表LUT2、进位逻辑链3、寄存器逻辑链4、一个可编程的寄存器9.CPLD的内部结构组成?P15-171、逻辑阵列块2、逻辑宏单元3、扩展乘积项4、可编程连线阵列5、I/O控制块10.FPGA的内部结构组成?P18-202、1、逻辑阵列块2、嵌入式存储器3、嵌入式硬件乘法器、4、I/O单元5、嵌入式PLL11.查找表原理?P18查找表(Look-Up-Table)简称为LUT,LUT本质上就是一个RAM。
EDA复习资料大全
1.一般把EDA技术的发展分为MOS时代、MOS时代和ASIC三个阶段。
2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。
3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。
4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。
5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。
6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。
7.以EDA方式设计实现的电路设计文件,最终可以编程下到FPGA和CPLD芯片中,完成硬件设计和验证。
8.MAX+PLUS的文本文件类型是(后缀名).VHD。
9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录。
10.VHDL源程序的文件名应与实体名相同,否则无法通过编译13、VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。
14、VHDL的子程序有过程(PROCEDURE) 和函数(FUNCTION) 两种类型,具有可重载性特点。
15、图形文件的扩展名是 .bdf ;矢量波形文件的扩展名是 .vwf ;使用VHDL语言,文本设计文件的扩展名是 .vhd ;自建元件图形符号文件的扩展名.bsf;资源分配说明文件扩展名.qsf,用文本打开它可以修改引脚编号;逻辑综合会生成.edf文件;双击.qpf文件可启动QuartusII并打开已有工程。
16、图形编辑中模块间的连线有三种形式:节点线、总线和管道线17、Quartus编译器编译FPGA工程最终生产两种不同用途的文件,它们分别是.sof 和.pof。
sof是SRAM Object File,下载到FPGA中,断电丢失。
pof是Programmer Object File,下载到配置芯片中,上电重新配置FPGA。
18、FPGA过程中的仿真有三种:行为仿真、逻辑仿真、时序仿真。
EDA复习
1、EPGA的中文意思是什么?完全由用户通过软件进行配置和编程,从而完成某种特定的功能,并且可以反复擦写。
2、所谓敏感信号表达式。
即当该表达式中的变量的值改变时,就会引发块内语句的执行always过程语句的敏感信号可以分为两种类型:一种为边沿敏感型、一种为电平敏感型。
“always”过程语句通常是带有触发条件的,触发条件写在敏感信号表达式中,只有当触发条件满足时,其后的“begin-end”块语句才能被执行。
下面讨论敏感信号表达式“event-expression”的含义以及如何写敏感信号表达式IP核的感念和分类。
所谓敏感信号表达式,又称事件表达式或敏感信号列表,即当该表达式中变量的值改变时,就会引发块内语句的执行。
因此,敏感信号表达式中应列出影响块内取值的所有信号。
若有两个或两个以上信号,它们之间用“or”连接。
always @(posedge clk or posedge clr)//两个敏感信号都是边沿敏感型always @(a or b)//两个敏感信号都是电平敏感型always @(posedge clk or clr)//不建议这样用,最好不要将边沿敏感型和电平//敏感型列在一起3、每个Verilog程序包括4个主要部分:模块声明、端口定义、信号类型说明和逻辑功能描述。
1)模块声明:模块声明包括模块名字和模块输入、输出端口列表。
其格式如下:module 模块名(端口1,端口2,端口3……);模块结束的标志为关键字:endmodule。
2)端口(Port)定义:对模块的输入、输出端口要明确说明,其格式为:端口是模块与外界或其他模块连接和通信的信号线,如图所示:有三种类型的端口:输入端口(input)、输出端口(output)和输入/输出端口(inout).3)信号类型声明:对端口的输入输出除了要明确说明外,还要进行信号数据类型的定义。
Verilog语言提供了各种信号类型,分别模拟实际电路中的各种物理连接和物理实体。
EDA复习知识点
一、PLD/CPLD/FPGA概念、原理、器件1.EDA:electronic design automation电子设计自动化2.HDL:Hardware Description Language硬件描述语言具有特殊结构能够对硬件逻辑电路的功能进行描述的一种高级编程语言。
HDL描述的是硬件,语言中体现硬件特点,要用硬件思想思考3.VHDL:Very-High-Speed Integrated(综合的)Circuit Hardware Description Language4.FSM(finite state machine):有限状态机是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型分为Mealy型和Moore型两类①Mealy:输出与当前状态和当前输入有关②Moore:输出仅与当前状态有关5.常用的两种描述风格是两段式与三段式①两段式:1)时序电路部分,完成状态转换2)组合逻辑部分,在一个与句段中描述下一状态逻辑与输出逻辑②三段式:1)时序电路部分,完成状态转换2)组合逻辑,计算下一状态3)组合逻辑,描述输出逻辑6.coding style(编码风格):FSM编码风格常用的有三种:Binary编码、One Hot编码、Gray编码Binary:二进制编码优点是占用位数少缺点是容易带来毛刺Gray:格雷码编码优点是可减少毛刺的发生One Hot:一位表达一个状态,缺点是需要更大的位宽,优点是比对时只需要比对1bit,适合高速电路7.verilog编码风格:避免生成多余Latch;资源共享;避免同时使用时钟双延。
8.时延表达initial begin clk = 0;foever #10 clk = ~clk;end9.assign、always、initial、case、if、for、forever、task、function……tch (锁存器)、DFF(触发器)描述(同步复位、异步复位等)系统复位分为同步复位与异步复位同步复位:always@ (posedge clk or negedge reset)begin if(!reset)…… end异步复位:always@(posedge clk)beginif(!reset)……end二、1.PLD:programmable logic device可编程逻辑器件2.Decoder: 解码器,译码器Tri-state output:三态输出3.大规模可编程逻辑器件:CPLD(Complex Programmable Logic Device 复杂可编程逻辑器件):基于乘积项(product term) 是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。
EDA复习资料_基础知识
EDA复习基础知识要点1.EDA的概念EDA(电子设计自动化)是现代电子设计技术的核心。
EDA就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、化简、分割、综合优化仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子线路的功能。
2.EDA的发展阶段CAD是EDA技术发展的早期阶段,此阶段仅仅使用计算机进行辅助绘图工作。
CAE是在CAD的工具逐步完善的基础上发展起来的,它开始用计算机将许多单点工具集成在一起使用。
20世纪90年代电子技术的飞速发展促使现在的EDA技术的形成。
出现了EDA设计的概念,并发展至今天。
3.EDA设计流程①设计准备②设计输入③设计处理④设计校验⑤器件编程⑥器件验证4.设计输入的三种方式①原理图方式②文本输入方式③波形输入方式5.设计处理的步骤①设计编译和检查(信号线有无漏接,信号有无双重来源,关键词有无错误)②优化设计和综合③适配和分割④布局和布线⑤生成编程数据文件6.常用对应的后缀名①原理图文件.bdf②VHDL语言文件.vhd③Verilog HDL文件.v④仿真波形文件.vwf7.可编程逻辑器件的分类①按集成密度分类可编程逻辑器件从集成密度上可分为低密度可编程逻辑器件LDPLD和高密度可编程逻辑器件HDPLD两类。
LDPLD 通常是指早期发展起来的、集成密度小于1000门/片左右的PLD如ROM、PLA、PAL和GAL等。
HDPLD包括可擦除可编程逻辑器件EPLD(Erasable Programmable Logic Device)、复杂可编程逻辑器件CPLD(Complex PLD)和FPGA三种,其集成密度大于1000门/片。
如Altera公司的EPM9560,其密度为12000门/片,Lattice公司的pLSI/ispLSI3320为14000门/片等。
EDA知识要点范文
EDA知识要点范文
一、Finite State Machines
1.有限状态机:有限状态机(FSM)是计算机科学和逻辑学中的一个重
要的抽象模型,用来描述计算机系统的行为。
有限状态机是由一组状态和
转换函数组成的有限集合,每一个状态代表系统的不同状态,而转换函数
则定义了从一个状态到另一个状态的转换逻辑。
2.状态和转换:有限状态机中的状态代表计算机系统的不同状态,如:输入状态、输出状态、正在处理状态等。
转换函数则描述了从一个状态到
另一个状态的变迁逻辑。
3.语义模型:有限状态机的语义模型描述了从一个状态到另一个状态
的变迁过程。
有限状态机的语义模型可以用到计算机系统的设计过程中,
帮助我们正确理解系统的行为,从而能够快速开发和实现系统功能。
二、VHDL
1.VHDL是什么:VHDL(可编程硬件描述语言)是一种用来描述电子
设备行为和运行状态的硬件综合语言。
它提供了一种用来描述复杂性能的
高级语言,被广泛使用到设计从小型IC到大型PCB的电子系统设计过程中,也可用来描述数字系统的逻辑行为和状态转换。
2.语言标准:VHDL语言的标准由IEEE控制,分别是IEEE1076.1,IEEE1076.2,IEEE1076.3,IEEE1076.4和IEEE1076.6。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA知识要点:
1、目前流行的HDL语言有那些?;
2、什么是ASIC。
3、VHDL是由什么机构制定并公布的。
4、VHDL的两大类基本描述语句是什么。
5、MAX+PLUSⅡ平台上,原理图、仿真波形文件、VHDL文件的扩展名
是什么?
6、结构体常见的功能语句有那些?
7、子程序分为那两类,其结构为什么。
8、信号与变量的赋值有何区别?。
9、可编程器件分为哪些类?
10、VHDL中常见的库有那些?。
11、不完整的条件语句与完整的条件语句生成的电路有何区别
12、VHDL的标识符由什么构成。
13、VHDL中预定义数据类型有那些?。
14、CASE语句使用当中的注意事项。
15、目前国际上较大的PLD器件制造公司有那几家公司。
16、VHDL数据对象有什么
17、赋值语句分哪些类,分别写出一句赋值语句。
18、实现时序电路和逻辑组合电路分别用什么语句实现,分别写出他
们的一般表式。
19、简述元件例化语句组成及语句格式。
20、数据对象有哪些种,分别写出定义这些数据对象的一般表述格式。
21、简述进程语句的使用要点?
22、写出VHDL常用的顺序语句的名称。
23、简述VHDL逻辑操作符的种类及所允许的操作数的数据类型。
24、EDA技术的含义。
25、VHDL语言中的逻辑操作符有那些?
26、目前较流行的集成EDA开发环境(软件)有那些?
27、简述EDA技术的CPLD/FPGA的设计流程。
28、写出实体中的PORT语句结构并说明其作用。
29、简述EDA技术经历了那几个发展阶段。
30、写出元件例化语句语句格式,并说明其作用。
31、试比较图形输入法和文本输入法有何优缺点?
32、结构体的语言格式与作用。
33、写出PROCESS语句结构的一般表达格式?
34、EDA技术常用的输入方法有?
35、什么是实体和结构体,其功能是什么?,
36、MAX+pulsⅡ的编辑窗口有那几种,分别是什么?
37、MAX+pulsⅡ的原理图输入法、文本输入法、波形输入法生成的文
件扩展名为?
38、VHDL的操作符有那几大类?每一类的操作符分别是什么?每一类
操作符可以对那些数据进行操作(运算)?
39、VHDL中如没有特别的说明算术操作符‘ + ’号对应的操作数为什
么类型
40、可编程器件(PLD)分为哪两类
41、标准逻辑位数据类型常用的数值有哪几种?
42、在VHDL语言中常见的的数据类型有那些?
43、完整的条件语句将产生什么电路,不完整的条件语句将产生什么
电路。
44、信号和变量有什么区别?
45、VHDL作为工业标准,是由那个机构制定并公布的。
46、实体部分的端口模式有四个类型。
47、从执行方式看VHDL的基本描述语句包括哪两大基本描述语句?
48、VHDL文件存盘时,其主文件名应与实体名一致,扩展名应为什呢
49、硬件描述语言(HDL)的种类很多?
50、简述元件例化语句的语句格式及关联方法。
EDA综合设计设计题
1、用两种以上的按照下图设计一个四选一多路选择器
2、使用元件例化语句编写下图所示的顶层文件,其中adder_1为一个由原理图输入法设计的完整的设计实体。
3、已知4位全加器电路原理图如下,请用元件例化语句编写其程序。
4、用VHDL 语言编写下图所示的七段显示译码器。
5、用VHDL 设计一个三位十进制的,带有使能控制端口enable 、异步清
零端口rst 、同步预置控制端口load 和预置数据输入端口date 的计数器。
6、编写一个D 触发器的硬件描述语言程序,要求实现上升沿触发。
7、使用IF 语句设计一个带有异步复位和置位、同步预置的4位2进制加法计数器。
七段译码器
b(X5)a(X6)c(X4)d(X3)e(X2)f(X1)g(X0)
D 0D 1D 2D 3
a b c
f e
d g
七段数码管。