EDA技术与VHDL期末复习
eda技术与vhdl设计答案
eda技术与vhdl设计答案eda技术与vhdl设计答案【篇一:eda技术与vhdl复习练习题】/p> 一、填空题1、pld的中文含义是:________。
2、asic的中文含义是:________。
3、“与-或”结构的可编程逻辑器件主要由四部分构成:________、________、____________和____________。
4、可编程逻辑器件结构图中一般用“x”表示此编程单元为________。
6、可编程逻辑器件结构图中无任何标记表示此编程单元为________。
7、可编程逻辑器件按规模的大小一般分为________和_________。
8、低密度可编程逻辑器件的主要有________和_________。
9、gal器件________取代全部pal器件。
10、pal器件只能________次编程。
11、gal器件能________次编程。
12、gal器件________取代ttl器件。
13、gal器件采用________擦除。
14、pal和gal器件________在系统编程。
15、pal和gal器件需要使用________编程。
二、选择题1、可编程逻辑器件pld的基本结构形式是_______:a:与——与b:与——或c:或——与d:或——或2、可以多次编程的器件是_______:a:prom b:plac:pal d:gal3、pld器件未编程时_______:a:有逻辑功能 b:没有逻辑功能c:pal器件有逻辑功能d:gal 器件有逻辑功能 4、gal器件可以用擦除:a:普通光 b:紫外线c:红外线 d:电5、gal16v8器件的输出引脚最多有______:a:16b:4 c:8 d:206、pal16v8器件的输入引脚最多有_______:a:16 b:4 c:8 d:207、gal16v8不能取代_________:a:pal16v b:74ls138c:74ls373 d:isplsi1032e-70plcc848、gal16v8的_______不可编程:a:与阵列b:或阵列c:输出逻辑宏单元olmc d:a、b都三、判断题1、gal器件的输出逻辑宏单元olmc不能实现pal器件的所有输出形式。
EDA与VHDL复习参考题 (1)
选择题1. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,()是错误的。
A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
2. IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP,下列所描述的IP核中,对于硬IP的正确描述为__________。
A.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路;B.提供设计的最总产品----掩膜;C.以网表文件的形式提交用户,完成了综合的功能块;D.都不是。
3提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。
A .软IP B.固IP C.硬IP D.都不是4 在VHDL程序存盘过程当中,文件名应该是()A. 结构体名B. 程序包名C. 任意D. 实体名5. 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。
A.FPGA是基于乘积项结构的可编程逻辑器件;B. FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。
6. 规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_______实现其逻辑功能。
A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲7.大规模可编程器件主要有CPLD和FPGA两类,下面对FPGA结构与工作原理描述中,正确的是()A FPGA全称为复杂可编程逻辑器件B FPGA是基于乘积项结构的可编程逻辑器件。
EDA技术期末复习题2
EDA技术期末复习题21、⼤规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与⼯作原理的描述中,正确的是____ 。
A. FPGA是基于乘积项结构的可编程逻辑器件;B. FPGA全称为复杂可编程逻辑器件;C. 基于SRAM的FPGA器件,在每次上电后必须进⾏⼀次配置;D. 在Altera公司⽣产的器件中,MAX7000系列属FPGA结构。
2、⼤规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与⼯作原理的描述中,正确的是______ 。
A. CPLD是基于乘积项结构的可编程逻辑器件;B. CPLD全称为现场可编程门阵列;C. 基于SRAM的CPLD器件,在每次上电后必须进⾏⼀次配置;D. 在Altera公司⽣产的器件中,Cyclone系列属于CPLD结构。
3、⼤规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与⼯作原理的描述中,正确的是:________A、CPLD是基于查找表结构的可编程逻辑器件B、在Xilinx公司⽣产的器件中,XC9500系列属CPLD结构C、早期的CPLD是从FPGA的结构扩展⽽来D、CPLD即是现场可编程逻辑器件的英⽂简称4、CPLD的可编程是主要基于什么结构:____ 。
A . 查找表(LUT);B. ROM可编程;C. PAL可编程;D. 与或阵列可编程;5、基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL⽂本输⼊→_______→综合→适配→时序仿真→编程下载→硬件测试。
A. 配置B. 逻辑综合C. 功能仿真D. 门级仿真6、下列哪个流程是正确的基于EDA软件的FPGA / CPLD设计流程:____ 。
A. 原理图/HDL⽂本输⼊→适配→综合→功能仿真→编程下载→硬件测试B. 原理图/HDL⽂本输⼊→功能仿真→综合→适配→编程下载→硬件测试7、EDA技术的实现载体是______。
A. 硬件描述语⾔B. 实验开发系统C. Quartus II软件D. ⼤规模可编程逻辑器件8、EDA技术的描述⽅式是__硬件描述语⾔___________________。
《EDA技术与VHDL》期末复习大纲
《EDA技术与VHDL》期末复习大纲CH1 EDA技术概述1.基本缩略语的英文全称以及中文含义:VHDL:超高速集成电路硬件描述语言VHSIC(very high speed intergrated circuit)Hardware Description LanguageASIC:专用集成电路Application specific intergrated circuitsEDA:电子设计自动化Electronic design automationCPLD:复杂可编程逻辑器件Complex programmable logic deviceJTAG:联合测试行动组Jiont test action groupIP:知识产权核、知识产权模块Internet protocolSOC:片上系统Sestem on a chipFPGA:现场课编程门阵列Field-programmable gate arrayLUT:可编程查找表Look up tableRTL:寄存器传输级Register transport level2.会使用PROM阵列完成逻辑函数;P12页3.几个重要概念:综合和适配P6\P8编程和配置4.可编程逻辑器件的几大分类;P105.IP在EDA技术中的应用以及意义;P236.基于FPGA/CPLD 的EDA开发设计流程;P67.常见的大规模可编程逻辑器件的编程工艺。
P21CH2 VHDL程序结构与数据对象1.VHDL程序的基本结构,以及常用库;P262.VHDL中的数字表示方法以及数制转换;P343.信号和变量的功能特点以及异同点;P37\384.几种端口模式,区别INOUT和BUFFER的异同;P295.分析体会27页例2-1(4选1多路选择器);P276.会根据VHDL程序画出实体图、电路原理图以及波形图。
CH3 VHDL数据类型与顺序语句1.几个重要VHDL数据类型:BIT和BIT_VECTOR类型STD_LOGIC和STD_LOGIC_VECTOR类型2.几个重要VHDL语句:IF_THENCASE_WHENPROCESSLOOPWAIT(时钟信号产生)GENERIC(可结合第5章复习)3.几个常用的VHDL程序:(1)基本D触发器,含异步复位和时钟使能的D触发器(分析体会异步和同步的具体含义);P55(2)实用计数器;P64(3)优先编码器(掌握用IF 语句描述真值表的方法);P68(4)端口数据统计计数器;P78(5)半加器(注意有若干种程序写法)4.习题3-8及其若干变种(例如:同步置1,同步清零…)目的是练习同步或者异步时钟下的IF语句顺序。
EDA技术期末考试复习资料
EDA技术期末考试复习资料什么是EDA?本意:Electronic Design Automation在教材中“EDA”是指依赖于功能强大的计算机,在EDA工具软件平台上,对以硬件描叙语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。
EDA技术的实现目标:完成专用集成电路ASIC的设计和实现(这种说法太片面)实现ASIC的三种途径:可编程逻辑器件CPLD、FPGA 半定制或全定制ASIC、混合ASIC 常用硬件描述语言(HDL):VHDL Verilog HDL System Verilog System CVerilogHDL与VHDL的比较:VHDL来源于古老的Ada语言,VerilogHDL来源于C语言,VerilogHDL受到一线工作的工程师的青睐。
90%以上的公司采用verilogHDL进行IC设计,ASIC设计必须学习VerilogHDL,VerilogHDL 在工业界通用些,VHDL在大学教学中使用较多VerilogHDL在系统级抽象方面比VHDL差一些,在门级开关电路描叙方面VerilogHDL比VHDL强很多VHDL比较严谨,VerilogHDL格式要求宽松些集成电路设计的层次:综合(synthesis)将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
(是从外文翻过来的别扭的句子)从算法表示转换到寄存器传输级,即行为综合从RTL级表示转换到逻辑门的表示,即逻辑综合从逻辑门表示转换为版图表示,即版图综合或结构综合功能仿真和时序仿真:1.功能仿真:是直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求的过程,仿真过程不涉及任何具体器件的硬件特性。
2.时序仿真:就是接近真实器件运行特性的仿真,仿真文件中己包含了器件硬件特性参数,因而,仿真精度高。
eda与vhdl复习资料完整
C. 2A D. 22 5. 符合 1987VHDL 标准的标识符是 A. a_2_3 B. a__ ___2 C. 2_2_a D. 2a 6. 不符合 1987 标准 VHDL 的标识符是 A. a_1_in B. a_in_2 C. 2_a D. asd_1 7. 不符合 1987 标准 VHDL 的标识符是 A. a2b2 B. a1b1 C. ad12 D. %50 B. 9moon C. Not_Ack_0 D. signall
型 A. 整型 B. 实型 C. 整型和实型 D.比特型 3.如定义 SIGNAL b:BIT_VECTOR(0 TO 0),则信号 b 的位宽是几 位? A. 0 B. 1 C. 2 D. 非法语句 4. 下面哪个数据类型不能够被综合,仅能用于仿真。 A. STD_LOGIC B. INTEGER C. BIT D. REAL 5. 下面哪个数据类型只有“真”和“假”两种状态。 A. STD_LOGIC B. INTEGER C. BIT D. BOOLEAN 6. 关于 VHDL 数据类型,正确的是
B. “BIT_VECTOR”是 IEEE 预定义数据类型 C. 布尔型数据类型的取值是 FALSE 和 TRUE D. 数据类型为字符型的标识符是区分大小写的。 11. 下列哪个数据类型不必事先声明而可以直接引用 A. STD_LOGIC B. STD_LOGIC_VECTOR C. BIT D. 前面三个答案都是错误的 12. STD_LOGIG_1164 中定义的高阻是字符 A. X B. x C. z D. Z 13. 要使用 std_logic 数据类型,必须对 IEEE 中的程序包进行 声明。 A. std_logic_signed B. std_logic_unsigned C. std_logic_arith D. std_logic_1164 14. 在 STD_LOGIG_1164 中字符 Z 定义为 A. 高阻
EDA技术与VHDL复习练习题
EDA技术与VHDL复习练习题探<习题一>一、填空题1、PLD的中文含义是: _______ 。
2、ASIC的中文含义是: _______ 。
3、“与-或”结构的可编程逻辑器件主要由四部分构成:________ 、 ________ 、 ___________ 和____________ 。
4、可编程逻辑器件结构图中一般用“ x ”表示此编程单元为________ 。
5、可编程逻辑器件结构图中一般用“ •”表示此编程单元为________ 06可编程逻辑器件结构图中无任何标记表示此编程单元为____________ 07、可编程逻辑器件按规模的大小一般分为________ 和 _________ o8、低密度可编程逻辑器件的主要有_______ 和9、GAL器件 _______ 代全部PAL器件。
10、PAL器件只能 _______ 编程。
11、GAL器件能 _______ 编程。
12、GAL器件 _______ 代TTL器件。
13、GAL器件采用 _____ 擦除。
14、PAL和GAL器件_______ 系统编程。
15、PAL和GAL器件需要使用_______ 程二、选择题1、可编程逻辑器件PLD的基本结构形式是A :与——与B:与——或C :或---- 与D:或---- 或2、可以多次编程的器件是______ :A : PROMB : PLAC : PALD : GAL3、PLD器件未编程时 ______ :A :有逻辑功能B :没有逻辑功能C : PAL器件有逻辑功能D : GAL器件有逻辑功能4、GAL器件可以用 ____ 擦除:A :普通光B :紫外线C :红外线D :电5、GAL16V8器件的输出引脚最多有______ :A : 16B : 4C : 8D : 206 PAL16V8器件的输入引脚最多有_________A : 16B : 4C : 8D : 207、GAL16V环能取代___________ :A : PAL16V B: 74LS138C : 74LS373D : ispLSI1032E-70PLCC848、GAL16V8勺________ 可编程:A :与阵列B :或阵列C :输出逻辑宏单元OLMCD : A、B都三、判断题1、GAL器件的输出逻辑宏单元OLM不能实现PAL 器件的所有输出形式。
VHDL期末复习PPT(知识点很全)
ENTITY 实体名 IS [类属参数说明]; [端口说明]; [实体说明部分]; End 实体名;
7
一个完整的ENTITY实例
LIBRARY IEEE; USE IEEE.std_logic_1164.all;
ENTITY ram IS GENERIC(delay_time: time:=5ns); PORT(addr: IN std_logic_vector(15 DOWNTO 0); sel: IN std_logic; data: OUT std_logic_vector(31 DOWNTO 0)); TYPE instruction IS ARRAY(0 TO 7) OF natural; TYPE program IS ARRAY(natural RANGE<>) OF instruction; END ram;
使用CASE语句 architecture hdlarch of mux41 is signal stmp:std_logic_vector(1 downto 0); begin stmp<= s1 &s0; process(s0,s1,a,b,c,d) begin case stmp is when "00" => y <=a; when "01" => y <=b; when "10" => y <=c; when others => y <=d; end case; end process;
一个完整VHDL电路设计必须有一个实体和对应的结构体, 即实体和结构体对构成一个完整的VHDL设计。
一个实体可对应一个结构体或多个结构体,即一个实体可以 有不同的描述方式。 作用:当实体有多个结构体时,系统默认实体选用最后一个结 构体,利用CONFIGURATION语句可以任意选择采用哪一个结 构体。
eda期末复习资料
第一章绪论1.理解EDA的含义:EDA就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。
2.EDA要素:4个基本条件:1)大规模可编程逻辑器件2)硬件描述语言3)软件开发工具4)实验开发系统(下载、硬件验证)发展:计算机辅助设计(CAD),计算机辅助工程设计(CAE),电子设计自动化(EDA)3.EDA实现目标:未来的EDA技术向深度和广度两个方向上发展4.电子系统设计方法:自顶向下设计法(分模块设计)、自底向上方法、混合式设计法。
5.自顶向下设计流程:(11个小步骤)6.EDA设计流程第四章Quartus II 【常规操作】VHDL1.VHDL的基本设计结构:(实体、结构体、库、程序包、配置)实体:ENTITY 实体名IS[GENERIC(类属表);][PORT(端口表);]END [ENTITY] [实体名]结构体:ARCHITECTURE 结构体名OF 实体名IS【定义语句;】------通常定义信号,常量,申明元件BEGIN并行处理语句EDN ARCHITECTURE{[结构体名]库和程序包:IEEE库、STD_LOGIC_1164程序包实体: ENTITY name …END ENTITY name;结构体: ARCHITECTURE name OF entity_name…END ARCHITECTURE;2端口信号模式: IN 、OUT、INOUT、BUFFER3.标识符的规定:1)以英文字母开头2)不以下划线结尾,同时不连续使用下划线3)26个英文字母大小写、0-9数字及下划线组成4)保留字不能作为标识符标识符的用途:定义常量、变量、信号、端口、子程序等等4.VHDL的数据对象有哪些:1)常量2)变量3)信号VHDL的文字规则(整数的数制基数表达方式):主要由5个部分组成1)用十进制数标明的数制进位基数2)数制间隔符“#”3)表达的数值4)指数间隔符“#”;5)指数部分,如果指数部分为0,可以省略第5部分;5.掌握常用数据类型(如数组定义等):标量型:标量类型的数据对象在某一时刻只对应一个值。
EDA技术与VHDL复习题
第一部分1-1 EDA技术与ASIC设计和FPGA开发有什么关系?答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD 是实现这一途径的主流器件。
FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。
FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC (片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。
1-2与软件描述语言相比,VHDL有什么特点?答:编译器将软件程序翻译成基于某种特定CPU 的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。
综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。
综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么?什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
(3)从RTL 级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。
(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。
综合在电子设计自动化中的地位是什么?答:是核心地位(见图1-3)。
vhdl期末考试复习提纲(考点大全)
Vhdl复习考试题型:单项选择题:(10*2=20分)简答题:(5*4=20分,每小题5分)判断改错题:(2*5=20分)综合题:(共50分)VHDL 大小写不敏感实体(Entity)描述此设计功能输入输出端口(Port)在层次化设计时,Port为模块之间的接口在芯片级,则代表具体芯片的管脚Entity eqcomp4 isport(a, b: in std_logic_vector(3 downto 0);equal:out std_logic);end eqcomp4;实体--端口的模式输入(Input)输出(Output)双向(Inout):可代替所有其他模式,但降低了程序的可读性,一般用于与CPU的数据总线接口缓冲(Buffer):与Output类似,但允许该管脚名作为一些逻辑的输入信号结构体(Architecture)描述实体的行为结构体有三种描述方式-行为描述(behavioral)-数据流描述(dataflow)-结构化描述(structural)结构体--行为描述结构体--数据流描述描述输入信号经过怎样的变换得到输出信号结构体--结构化描述三种描述方式的比较VHDL标识符(Identifiers)•基本标识符由字母、数字和下划线组成•第一个字符必须是字母•最后一个字符不能是下划线•不允许连续2个下划线•保留字(关键字)不能用于标识符•大小写是等效的VHDL数据对象(Data Objects)•常数(Constant)–固定值,不能在程序中被改变–增强程序的可读性,便于修改程序–在综合后,连接到电源和地–可在Library、Entity、Architecture、Process中进行定义,其有效范围也相应限定Constant data_bus_width: integer := 8;•信号(Signals)–代表连线,Port也是一种信号–没有方向性,可给它赋值,也可当作输入–在Entity中和Architecture中定义–设定的初始值在综合时没有用,只是在仿真时在开始设定一个起始值。
VHDL期末复习资料
一、填空题(根据题意,将下列各题的正确答案填写在对应小题内的“”处,每空1.5分,)1.基于EDA技术的电子系统设计采用的是的方法。
2. 时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为。
3. 图形文件设计结束后一定要通过,检查设计文件是否正确。
4. 一个实用的vhdl程序一般由、和组成。
5.实体的端口定义包括、和。
6. VHDL的操作符包括、、和四类。
7. 在VHDL中,标准逻辑类型数据有种逻辑值。
8.在一个实体的端口方向说明时,输入使用in表示,那么结构体内部不能再使用的输出是用表示;双向端口是用表示;结构体内部可再次使用的输出是用表示。
9.VHDL的短标识符名必须以,后跟若干字母、数字或单个下划线构成,但最后不能为。
10. vhdl的数据对象包括、、、和它们用来存放各种类型数据。
11.在VHDL的端口声明语句中,端口方向包括、、和。
12. 进程process在仿真运行中,只能处于和两种状态。
13. VHDL的结构体用来描述实体的和,它由VHDL语句构成,是外界看不到的部分。
14.CLK下降沿描述语句为:。
15. VHDL的实体声明部分指定了设计单元的或它是设计实体对外的一个通信界面,是外界可以看到的部分。
16. 在VHDL中最常用的库是标准库,最常用的数据包是数据包。
17. 过程调用的两种方法为和。
18. 一个完整的VHDL语言程序通常包含、、、和。
19. 和是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。
20. VHDL语言的两大基本语句是和。
21. 根据VHDL语法规则,在VHDL程序中使用的文字、数据对象、数据类型都需要。
22. 逻辑综合中,信号赋值符号是,变量的赋值符号。
23. 在VHDL中,的数据传输是立即发生的,不存在任何延时的行为。
24. 进程process后面括号里面的称为。
25. 在std_logic类型中,_ ____、_ ____和_ ____三种值不能够被综合所支持。
数字电路EDA设计与应用期末总复习题综述
考试时间: 90 分钟题型 :一、单项选择 2 分 *10=20 分二、程序判断改错题 5 分 *4=20 分三、程序题20 分*3=60 分复习要点:1、EDA 、VHDL 的中英文名称。
2、表记符规则,文法例则3、数据对象(要点常量、变量、信号)4、数据种类(标准预约义数据种类,IEEE 预约义标准逻辑位种类)5、运算符(连结运算符,逻辑运算符等)6、VHDL 程序的基本结构:完好的 VHDL 程序包含的五个部分,要点:实体,端口说明,结构体,进度,子程序(过程和函数);常用的库和程序包。
7、次序语句,次序语句的特色,IF 语句, CASE 语句8、并行语句,条件信号赋值语句,选择信号赋值语句,COMPONENT 语句,元件例化语句,9、程序设计题:加法器,译码器,数据选择器,计数器,分频器,数码管显示。
一、填空题( 20 分 , 每空格 1 分)1、一个完好的 VHDL语言程序往常包含实体( entity),结构体( architecture),配置( configuration),包会合( package )和库( library) 5 各部分。
2、在一个实体的端口方向说明时,输入使用 in表示,那么结构体内部不可以再使用的输出是用 out表示;双向端口是用inout表示;结构体内部可再次使用的输出是用buffer表示;3、 VHDL的客体,或称数据对象包含了常数、变量 variable和信号 signal。
4、请列出三个 VHDL语言的数据种类,照实数、位等。
位矢量,字符,布尔量。
5、 VHDL程序的基本结构包含库、程序包、实体和结构体。
6、 more_ _11表记切合法吗?不合法。
8bit 表记切合法吗?不合法。
variable表记切合法吗?不合法。
7、信号的代入往常用<=,变量用:=。
8、表示‘ 0’‘ 1’;两值逻辑的数据种类是bit(位),表示‘ 0’‘ 1’‘Z’等九值逻辑的数据种类是std_logic (标准逻辑),表示空操作的数据种类是NULL。
EDA技术与VHDL(复习提纲)[1]
EDA技术与VHDL第1章概述第2章PLD硬件特性与编程技术第3章VHDL基础1. 实体的概念?程序<-->元件图2. 结构体的概念?程序<-->逻辑电路3. P42页图3-2对应的逻辑表达式是什么?为什么能够实现2选1多路选择器?4. 2选1多路选择器的3种实现方法?真值表<-->逻辑表达式<-->逻辑电路图<-->程序?5. P44页图3-3/mux21a功能时序波形的理解?6. 标识符能用关键词起名,也能用EDA工具库中预定义的元件名起名?7.综合的作用或意义?8. 可综合的端口模式分别是?数据的流动方向和方式?9. INOUT、BUFFER的区别?10.什么是RTL?11.什么是VHDL的RTL描述?12.在VHDL中,所有合法的顺序描述语句都必须放在进程语句中?13.通常要求将进程中所有的输入信号都放在敏感信号表中?14.试叙述进程的‚启动-运行‛过程?15.在一个结构体中只能包含一个进程语句结构?16.所有进程语句都是并行语句?17.任一进程PROCESS内部语句结构属于顺序语句?18.VHDL代码文件的后缀扩展名是?19.建议程序文件名与该程序的实体名一致?20.文件名区分大小写吗?21.P48页例3-6,D触发器工作原理?22.STD_LOGIC数据类型定义的数据有几个?分别是什么?什么含义?综合器支持哪几个数据?23.关键词‚EVENT‛的作用?24.假设clock的数据类型是BIT,试解释为什么‚clock’ EVENT AND clock=’1’”表达式是用来对clock的上升沿进行检测?25.结合P48例3-6说明,为什么不完整条件语句是构建时序电路的关键?26.检测时钟信号上升沿的不同表述方法?(4)27.半加器:真值表<-->逻辑表达式<-->逻辑电路图<-->程序?28.全加器电路图?29.双横线‚--‛?30.元件例化语句的表达式?例化名和元件名如何理解?PORT MAP ()端口映射语句中的‚端口名=>连接端口名‛,端口名和连接端口名的区分?31.试用两种方法设计4位二进制加法计数器?(1:BUFFER;2:SIGNAL)32.设计异步复位同步使能十进制加法计数器?(流程图)33.‚OTHERS=>X‛中‚OTHERS‛的作用?34.异步、同步的概念?35.设计同步并行预臵功能的8位右移移位寄存器?P66例3-22(流程图)36.为什么该移位寄存器是算数右移移位寄存器?(SRA)37.数据对象的种类?38.常数定义的格式?常数定义的设计单元?常数的可视性?常数如果分别在程序包、结构体和进程中定义,哪一个的使用范围广?39.变量的特点(4)?变量定义的格式?40.信号的使用和定义范围?41.符号‚<=‛两边的数值总是一致的?判断题:1)信号可以在进程中定义?变量可以在结构体中定义?2)信号和常数都可以在实体、结构体和程序包中定义?3)常数和变量都可以在进程和子程序中定义?4)信号可以在函数和过程中定义?5)变量可以在程序包中定义?6)变量赋值需要延时?变量、信号、常量都可以列入进程的敏感表?7)实体的端口可以列入进程的敏感表?8)信号赋值延时(不指定)需要多长时间?指定延时的格式是?指定延时综合器支持吗?9)实体的端口可以看作一种定义数据流向的隐性信号?10)信号可以看作实体内部的没有定义数据流向的端口?42.在进程和结构体的并行语句结构中,信号赋值的区别?43.变量和信号在赋值上的异同点?表3-1(行为特性)44.结合例3-25和例3-26说明信号与变量在延时特性上的差别?(3)45.变量和信号的赋值都需要一个δ延时?46. 在进程中,所有赋值语句,包括变量赋值,都必须在一个δ延时中完成?47.在进程中的所有信号赋值是‚假‛顺序‚真‛并行?48. 如在进程中存在对同一信号多次赋值,使信号值发生更新的是第一个赋值源?49. 结合例3-28和例3-29说明顺序语句中信号与变量之间的差别?50. 结合图3-20说明例3-30的工作原理?51. IF语句的4种结构?52. 非完整性条件语句<-->时序电路,完整性条件语句<-->组合电路53. 8线-3线优先编码器的设计?54. PROCESS结构中的顺序语句及其顺序执行过程只是相对于计算机中的软件行为仿真的模拟过程而言?55. PROCESS语句结构如何执行?56. 多数VHDL综合器要求敏感信号表必须列出本进程中所有输入信号名?57. PROCESS语句结构的特点?58. PROCESS为一有限循环语句?59. PROCESS中的顺序语句具有明显的顺序/并行运行双重性?60. 软件语言中每一条语句的执行是按CPU的机器周期的节拍顺序执行?每一条语句执行的时间是确定的?61. 在PROCESS中,一个执行状态的运行周期,即从PROCESS的启动执行到遇到END PROCESS为止所花的时间与任何外部因素都无关(从综合结果来看),甚至与PROCESS语法结构中的顺序语句的多少都没有关系,其执行时间从行为仿真的角度看(如果没有设臵任何显式的惯性或传输延时),只有一个VHDL模拟器的最小分辨时间,即一个δ时间;但从综合和硬件运行的角度看,其执行时间是0;与信号的传输延时无关,与被执行的语句的实现时间也无关,即在同一PROCESS中,10条语句和1000条语句的执行时间是一样的,显然,从效果上看,PROCESS中的顺序语句具有并行执行的性质。
EDA复习提纲
EDA技术与VHDL语言期末复习指导课程要求:本课程是通信类专业的专业技术课,要求学生通过本课程的学习和实验,初步掌握常用EDA工具的使用方法、FPGA的开发技术以及VHDL语言的编程方法。
能比较熟练地使用Quartus II等常用EDA软件对FPGA和CPLD作一些简单电路系统的设计,同时能较好地使用VHDL语言设计简单的逻辑电路和逻辑系统,学会行为仿真、时序仿真和硬件测试技术,为现代EDA工程技术的进一步学习,通信类ASIC器件设计以及通信类超大规模集成电路设计奠定基础,使学生具备可编程类硬件设计的技术手段。
课程复习提纲:(一)概论(基础知识)现代EDA技术;EDA基本概念P.1 【名词解释】EDA发展趋势(IP核)P.2【简答】EDA技术实现目标:3点P3~P4【简答】VHDL概况;(名词解释)P4【名词解释】自顶向下的系统设计方法;P8~ P10【简答】对现代EDA技术及实现工具的使用方法和发展情况有了解。
P11【简答】(二)EDA设计流程及工具(基础知识)基于EDA软件的FPGA/CPLD开发流程和ASIC设计流程;P12~P16 其中的几个“小标题”并简单扩展;ASIC设计方法;P17~P18;【简答】一般的ASIC设计流程;P19;【简答】与这些设计流程各环节密切相关的EDA工具软件(Quartus II);综合软件的名称等;P19~P23;【填空】IP、SOC,FPGA,CPLD等术语。
P24等【名词解释】(三)FPGA/CPLD结构与应用(基础知识)FPGA和CPLD的基本技术;可编程器件的分类;P28【简答或填空】几类常用的可编程逻辑器件的结构和工作原理;【简答】PLD,PROM,PLA,PAL,GAL P29~ P31,P36~ P40对CPLD的乘积项原理;P38【简答】FPGA的查找表原理;P40【简答】相关的编程下载和测试技术。
P46~ P50 【了解】(四)VHDL设计初步(重点知识)通过简单、完整而典型的VHDL设计示例,初步了解VHDL表达和设计电路的方法;VHDL语言现象和语句规则;VHDL系统设计技巧;(五)Quartus II应用向导(一般了解,需了解参数配置方法)基于Quartus II的VHDL文本输入设计流程(通过实例),包括设计输入、综合、适配、仿真测试和编程下载等方法;【了解】Quartus II包含的一些有用的测试手段;【了解】原理图输入设计方法。
EDA技术与VHDL期末复习程序汇总
目录1. 教材课后答案 (2)3-2 If-then语句4选1选择器(P92) (2)3-2 case语句4选1选择器(P92) (2)3-3 双2选1选择器(P92) (2)3-4-1 1位半减器(P92) (2)3-4-2 用1位全减器,构成串行借位8位减法器(P92) (3)3-5 含有上升沿D触发的时序电路(P92) (3)3-6 根据图形写出程序(P92) (4)3-7 异步清零和计数使能的16位二进制加减可控计数器(P92) (4)5-2 例5-5是一个双进程Mealy状态机,将其改为单进程,比较输出波形。
(P174) (5)5-3序列检测器(P174) (5)5-4 根据状态图写出程序(P176) (7)7-3 用WITH_SELECT_WHEN语句描述4个16位至1个16位输出的4选1多路选择器。
(P248) (8)7-7 将程序转换为WITH_SELECT语句(P249) (8)2. 总结习题 (9)1、优先级编码器。
(9)2、根据状态图写出程序 (9)3、通用奇偶校验发生器电路 (9)4. 使用loop语句实现对输入矢量中连续出现的零的个数进行统计 (10)5. 设计一个对时钟进行6分频的电路 (10)6、带7段数码显示的模100计数器,实现一个异步复位的模100累加计数器, (11)7、信号发生器 (12)8、设计一个自动售货机的控制器电路。
(13)9、设计一个含有generic的比较器 (14)10、多位比较器 (15)11、设计一个二-十进制BCD译码器。
译码器输入din为4位二进制数,输出为4位二进制数表示的两个十进制数a、b。
(15)12、串行数据接收器电路 (16)13、设计一个计时器,它能从0秒计时到9分59秒 (17)14、并/串转换器的电路 (17)15、考试题型 (18)3. 课堂上补充的习题 (18)1、简易8位桶形移位寄存器 (18)2、序列检测器 (19)3、计算输入矢量(16个位)中的‘1’的个数 (19)4、交通灯控制器 (20)1.教材课后答案3-2 If-then语句4选1选择器(P92)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 ISPORT ( a,b,c,d: IN std_logic;s: IN std_logic_vector(1 downto 0);y : OUT std_logic );END ENTITY mux41;ARCHITECTURE one OF mux41 ISBEGINPROCESS (a,b,c,d,s)BEGINIF s = "00" THEN y <= a ;elsif s="01" THEN y <= b ;elsif s="10" THEN y <= c ;elsif s="11" THEN y <= d ;END IF;END PROCESS;END ARCHITECTURE one ;3-2 case语句4选1选择器(P92)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4 ISPORT(s: IN STD_LOGIC_VECTOR(1 DOWNTO 0);a, b, c, d: IN STD_LOGIC;y: OUT STD_LOGIC);END mux4;ARCHITECTURE archmux OF mux4 ISBEGINPROCESS(s,a,b,c,d)BEGINCase s isWHEN "00" => y<=a;WHEN "01" => y<=b;WHEN "10" => y<=c;WHEN OTHERS => y<=d;end case;end process;end archmux; 3-3 双2选1选择器(P92)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK ISPORT ( a1, a2, a3, s0, s1 : IN std_logic;outy : OUT std_logic );END ENTITY MUXK;ARCHITECTURE one OF MUXK ISsignal tmp: std_logic;BEGINPROCESS (a2, a3, s0)BEGINIF s0 = '0' THEN tmp <= a2 ; ELSE tmp <= a3 ;END IF;END PROCESS;PROCESS (a1, tmp, s1)BEGINIF s1 = '0' THEN outy <= a1 ; ELSE outy <= tmp ;END IF;END PROCESS;END ARCHITECTURE one ;3-4-1 1位半减器(P92)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY h_suber ISPORT (x, y : IN STD_LOGIC;s_out, diff : OUT STD_LOGIC);END ENTITY h_suber;ARCHITECTURE fh1 OF h_suber isSIGNAL abc : STD_LOGIC_VECTOR(1 DOWNTO 0) ; BEGINabc <= x & y ;PROCESS(abc)BEGINCASE abc ISWHEN "00" => diff <='0'; s_out <='0' ;WHEN "01" => diff <='1'; s_out <='1' ;WHEN "10" => diff <='1'; s_out <='0' ;WHEN "11" => diff <='0'; s_out <='0' ;WHEN OTHERS => NULL ;END CASE;END PROCESS;END ARCHITECTURE fh1 ;3-4-2 用1位全减器,构成串行借位8位减法器(P92)LIBRARY IEEE ; --或门逻辑描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT (a, b :IN STD_LOGIC;c : OUT STD_LOGIC );END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b ;END ARCHITECTURE one ;LIBRARY IEEE; --1位二进制全加器顶层设计描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY suder ISPORT(x,y,sub_in: IN std_logic;s_out,diffr: out std_logic);END ENTITY suder;ARCHITECTURE fd1 OF suder ISCOMPONENT h_suber --调用半加器声明语句PORT (x, y : IN STD_LOGIC;s_out, diff : OUT STD_LOGIC);END COMPONENT;COMPONENT or2aPORT(a,b: IN std_logic;c : OUT STD_LOGIC);END COMPONENT;signal d,e,f:std_logic;BEGINu1 : h_suber PORT MAP(x=>x,y=>y,s_out =>e,diff =>d);u2 : h_suber PORT MAP(x=>d,y=>sub_in,s_out =>f,diff =>diffr);u3 : or2a PORT MAP(a=>e,b=>f,c=> s_out);END ARCHITECTURE fd1;LIBRARY IEEE; --8位二进制全减器顶层设计描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY suber_8 ISPORT (x,y:IN STD_LOGIC_VECTOR(7 downto 0);sub_in : IN STD_LOGIC;diff :OUT STD_LOGIC_VECTOR(7 downto 0);sub_out: OUT STD_LOGIC );END ENTITY suber_8;ARCHITECTURE fd8 OF suber_8 ISCOMPONENT suder --调用半加器声明语句PORT (x,y,sub_in : IN STD_LOGIC;s_out,diffr : OUT STD_LOGIC );END COMPONENT;SIGNAL s0,s1,s2, s3,s4,s5,s6 : STD_LOGIC;BEGINU0 : suder PORT MAP(x=>x(0),y=>y(0),sub_in => sub_in, s_out =>s0,diffr =>diff(0));U1 : suder PORT MAP(x=>x(1),y=>y(1),sub_in => s0,s_out =>s1,diffr =>diff(1));U2 : suder PORT MAP(x=>x(2),y=>y(2),sub_in => s1,s_out =>s2,diffr =>diff(2));U3 : suder PORT MAP(x=>x(3),y=>y(3),sub_in => s2,s_out =>s3,diffr =>diff(3));U4 : suder PORT MAP(x=>x(4),y=>y(4),sub_in => s3,s_out =>s4,diffr =>diff(4));U5 : suder PORT MAP(x=>x(5),y=>y(5),sub_in => s4,s_out =>s5,diffr =>diff(5));U6 : suder PORT MAP(x=>x(6),y=>y(6),sub_in => s5,s_out =>s6,diffr =>diff(6));U7 : suder PORT MAP(x=>x(7),y=>y(7),sub_in => s6,s_out =>sub_out,diffr =>diff(7));END ARCHITECTURE fd8;3-5 含有上升沿D触发的时序电路(P92)library ieee ;USE IEEE.STD_LOGIC_1164.ALL ;entity dff1 isPORT (CLK0 : IN STD_LOGIC ;CL : IN STD_LOGIC ;OUT1 : OUT STD_LOGIC );end ;ARCHITECTURE bhv OF dff1 ISSIGNAL Q,D:STD_LOGIC;beginD<= CL NAND Q;PROCESS (CLK0,CL)beginIF CLK0'EVENT AND CLK0 = '1' THEN Q <= D ;end if;end process;OUT1<= Q ;end bhv;3-6 根据图形写出程序(P92)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MX3256 ISPORT (INA, INB, INC, INCK :IN STD_LOGIC;E, OUT1 : OUT STD_LOGIC );END ENTITY MX3256;ARCHITECTURE ONE OF MX3256 ISCOMPONENT LK35PORT (A1, A2, CLK : IN STD_LOGIC;O1, O2 : OUT STD_LOGIC );END COMPONENT;SIGNAL A, B, S,Q : STD_LOGIC;BEGINU0 : LK35 PORT MAP(INA, INB, INCK, B, S);U1 : LK35 PORT MAP(S, Q, INCK, A, OUT1);END ARCHITECTURE ONE;3-7 异步清零和计数使能的16位二进制加减可控计数器(P92)library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_unsigned.all ;entity counter4 isport(clk : in STD_LOGIC;clr : in STD_LOGIC;en : in STD_LOGIC;up_down : in STD_LOGIC;c: out STD_LOGIC;DOUT : buffer STD_LOGIC_VECTOR(16 downto 0) );end counter4;architecture rtl of counter4 isbeginprocess ( clk , clr ,en ,up_down )beginif clr = '1' then --异步清零DOUT <= "0000";elsif en ='1' then --异步计数使能if clk'event and clk ='1' thenif (up_down = '1') then --加计数if (DOUT = "1111") thenc <= '1';DOUT <= "0000";elseDOUT <= DOUT + 1;c <= '0' ;end if;else --减计数if (DOUT = "0000") thenc <= '1';DOUT <= "1111";elseDOUT <= DOUT -1;c <= '0' ;end if;end if;end if;end if;end process;end rtl;5-2 例5-5是一个双进程Mealy状态机,将其改为单进程,比较输出波形。
EDA技术与VHDL复习资料
# EDA 技术概念:EDA 技术就是依赖功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言位系统逻辑描述手段完成的设计文件,自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。
# 实现目标的ASIC 的三个途径:可编程逻辑器件;半定制或全定制ASIC ;混合ASIC ;# 面相FPGA 的EDA 开发流程:①设计输入:将电路系统以一定的表达方式输入计算机,是在EDA 软件平台对FPGA/CPLD 开发的最初步骤。
两种类型:图形输入;硬件描述语言代码文本输入;②综合:综合器就是能够自动将一种表述形式向另一种表述形式转换的计算机程序,它可将高层次描述转换为低层次描述,是EDA 技术的核心。
③适配:适配器能将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件。
④仿真:让计算机根据一定的算法和一定的仿真库对EDA 设计进行模拟,以验证设计的正确性,以便排除错误。
两种仿真测试:时序仿真;功能仿真;⑤编程下载:把适配后生成的下载或配置文件,通过编程器或下载电缆向FPGA/CPLD 进行下载,以便进行硬件调试和验证。
# CPLD 结构:与或阵列。
MAX7000的5个部分:逻辑阵列块;逻辑宏单元;扩展乘积项;可编程连线阵列;I/O 控制块; # FPGA 结构:查找表逻辑结构。
5个模块:逻辑阵列块;嵌入式存储器块;嵌入式硬件乘法器;I/O 单元;嵌入式PLL 块;# IP 核:IP 是知识产权核或知识产权模块。
# VHDL 程序结构:库与程序包调用声明部分;实体描述部分(电路模块端口描述);结构体描述部分(电路模块功能描述);配置结构部分;# 变量与信号的功能特点及区别:①变量是一个局部量,只能在进程和子程序中使用。
变量的赋值是立即发生的,不存在任何延时行为。
变量的主要作用是在进程中作为临时的数据存储单元。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1.下降沿与上升沿的描述。
a)上升沿:clock’event and clock=’1’ rising_edge()b)下降沿:clock’event and clock=’0’ falling_edge()2.信号与变量的区别3.实体中有哪些端口,及其含义。
in: 输入型,此端口为只读型。
out: 输出型,只能在实体内部对其赋值。
inout:输入输出型,既可读也可赋值。
buffer: 缓冲型,与 out 相似,但可读。
4.编程,配置。
通常,将对CPLD的下载称为编程,对FPGA中的SRAM进行直接下载的方式称为配置,但对于OTP FPGA的下载和对FPGA的专用配置ROM的下载仍称为编程5.3-8译码器真值表,写程序LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL; Array ENTITY coder ISPORT(A : IN STD_LOGIC_VECTOR(1 TO 3)Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END coder ;ARCHITECTURE a1 or coder ISBeginIF A=“000” THEN Y<=“00000001”;ELSIF A=“001” THEN Y<=“00000010”;ELSIF A=“010” THEN Y<=“00000100”;ELSIF A=“011” THEN Y<=“00001000”;ELSIF A=“100” THEN Y<=“00010000”;ELSIF A=“101” THEN Y<=“00100000”;ELSIF A=“110” THEN Y<=“01000000”;ELSE Y<=“10000000”;END IF;END a1;6.CPLD,FPGA的中文含义CPLD:(Complex Programmable Logic Device)复杂可编程逻辑器件FPGA:(Field Programmable Gate Array)现场可编程门阵列ASIC:(Application Specific Integrated Circuit)专用集成电路7.常用的库、包library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_Arith.all;use ieee.std_logic_Unsigned.all;8.一个完整的程序由哪几部分构成。
9.给状态图写程序或给程序画状态图10.写一个4选1的数字选择器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 ISPORT ( s1, s2 : in std_logic;a,b,c,d: in std_logic;z: out std_logic); END ENTITY mux41;ARCHITECTURE activ OF mux41 ISSIGNAL s :std_logic_vector(1 downto 0); BEGINS<= s1& s2PROCESS (s1,s2,a,b,c,d)BEGINCASE s ISWHEN “00”=> z<=a;WHEN “01”=> z<=b;WHEN “10”=> z<=c;WHEN “11”=> z<=d;WHEN OTHERS => z<=‘x’;END CASE;END PROCESS;END activ;11.完成一个模N计数器模10LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; --------------见书63页ENTITY CNT10 ISPORT (CLK,RST,EN : IN STD_LOGIC;CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT : OUT STD_LOGIC );END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK, RST, EN)VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST = '1' THEN CQI := (OTHERS =>'0') ; --计数器异步复位ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿IF EN = '1' THEN --检测是否允许计数(同步使能)IF CQI < 9 THEN CQI := CQI + 1; --允许计数, 检测是否小于9 ELSE CQI := (OTHERS =>'0'); --大于9,计数值清零END IF;END IF;END IF;IF CQI = 9 THEN COUT <= '1'; --计数大于9,输出进位信号ELSE COUT <= '0';END IF;CQ <= CQI; --将计数值向端口输出END PROCESS;END behav;12.实体描述什么?结构体描述什么?实体描述了电路器件的外部情况及各信号端口的基本性质;结构体描述了电路器件的内部逻辑功能和电路结构13.process是什么意思?进程(1)一个结构体当中可以有多个Process 语句,Process 语句是同时执行的并行语句。
(2)Process内的语句却是顺序执行的顺序语句。
(3)多进程之间的信息通过信号对象来传递。
14.子程序有什么类型?过程和函数15.bit,std_logic(书本49)BIT数据类型定义:TYPE BIT IS('0','1'); --只有两种取值STD_LOGIC数据类型定义:TYPE STD_LOGIC IS ('U','X','0','1','Z','W','L','H','-'); --有9种取值16.固,软,硬IP固IP--完成了综合的功能块。
软IP--用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。
硬IP--供设计的最终阶段产品--掩膜。
17.process工作原理(PPT第三章147)当敏感表中的某个信号变化时进程才被激活,进程内的顺序语句被执行。
当进程结束时,进程内的输出信号值被更新,进程进入等待(睡眠)状态,直到敏感表中的某一信号发生变化,进程被再次激活。
注意:一个进程可以有多个敏感信号,任一个敏感信号发生变化都会激活进程,敏感信号各个用逗号隔开18.仿真分为哪几类分为时序仿真和功能仿真两类19.会写一个延迟程序LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY DFF3 ISPORT ( CLK,D1 : IN STD_LOGIC ;Q1 : OUT STD_LOGIC ) ;END ;ARCHITECTURE bhv OF DFF3 ISSIGNAL A,B : STD_LOGIC ;BEGINPROCESS (CLK) BEGINIF CLK'EVENT AND CLK = '1' THENA <= D1 ;B <= A ; Q1 <= B ;END IF;END PROCESS ;END bhv;20.三态门电路控制LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY tri_s ISport ( enable : IN STD_LOGIC;datain : IN STD_LOGIC_VECTOR(7 DOWNTO 0);dataout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END tri_s ;ARCHITECTURE bhv OF tri_s ISBEGINPROCESS(enable,datain)BEGINIF enable = '1' THEN dataout <= datain ;ELSE dataout <="ZZZZZZZZ" ; END IF ;END PROCESS;END bhv;21.FPGA如何完成程序的存储(PPT第二章90后)用EPROM配置、用专用配置器件配置、单片机控制配置、CPLD控制配置或FLASH ROM配置等22.全加器的描述(用例化语句)LIBRARY IEEE; --半加器描述(1):布尔方程描述方法USE IEEE.STD_LOGIC_1164.ALL;ENTITY h_adder ISPORT (a, b : IN STD_LOGIC;co, so : OUT STD_LOGIC);END ENTITY h_adder;ARCHITECTURE fh1 OF h_adder isBEGINso <= NOT(a XOR (NOT b)) ; co <= a AND b ;END ARCHITECTURE fh1;LIBRARY IEEE; --半加器描述(2):真值表描述方法USE IEEE.STD_LOGIC_1164.ALL;ENTITY h_adder ISPORT (a, b : IN STD_LOGIC;co, so : OUT STD_LOGIC);END ENTITY h_adder;ARCHITECTURE fh1 OF h_adder isSIGNAL abc : STD_LOGIC_VECTOR(1 DOWNTO 0) ; --定义标准逻辑位矢量数据类型BEGINabc <= a & b ; --a相并b,即a与b并置操作PROCESS(abc)BEGINCASE abc IS --类似于真值表的CASE语句WHEN "00" => so<='0'; co<='0' ;WHEN "01" => so<='1'; co<='0' ;WHEN "10" => so<='1'; co<='0' ;WHEN "11" => so<='0'; co<='1' ;WHEN OTHERS => NULL ;END CASE;END PROCESS;END ARCHITECTURE fh1 ;LIBRARY IEEE ; --或门逻辑描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT (a, b :IN STD_LOGIC;c : OUT STD_LOGIC );END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b ;END ARCHITECTURE one ;LIBRARY IEEE; --1位二进制全加器顶层设计描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY f_adder ISPORT (ain,bin,cin : IN STD_LOGIC;cout,sum : OUT STD_LOGIC );END ENTITY f_adder;ARCHITECTURE fd1 OF f_adder ISCOMPONENT h_adder --调用半加器声明语句PORT ( a,b : IN STD_LOGIC;co,so : OUT STD_LOGIC);END COMPONENT ;COMPONENT or2aPORT (a,b : IN STD_LOGIC;c : OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f : STD_LOGIC; --定义3个信号作为内部的连接线。