移位寄存器实验报告
高等教育工科四位左移右移寄存器的实验报告
![高等教育工科四位左移右移寄存器的实验报告](https://img.taocdn.com/s3/m/94f73177a417866fb84a8ed9.png)
四位左移/右移寄存器一、实验目的1、掌握双向移位寄存器的组成和工作原理;2、掌握双向移位寄存器逻辑功能;3、了解双向移位寄存器的应用;4、学可层次设计的方法二、实验内容1、仿真并下载验证四位并行存取双向移位寄存器(74194)的逻辑功能。
①画出 74194 实现左移的电路图及波形仿真图。
②画出 74194 右移的电路图和波形仿真图。
③画出 74194 并入置数的电路图和波形仿真图。
2、设计并实现一个四位左/右移位寄存器。
要求画出电路测试图;逻辑仿真,记录仿真图波形;下载验证,记录管脚分配和实验结果。
三、实验平台及实验方法用电路图输入法完成(74194),对其进行逻辑功能运用MaxplusII软件进行波形仿真再结合FPGA(即对试验箱的芯片进行编译)下载验证。
四、实验原理maxplusII内部关于74194器件的真值表maxplusII内部关于74194器件的电路的连接图五、实验操作门电路图实验过程:1.启动MaxplusII软件;2.新建一个逻辑图编辑界面,点Max+plus II→Grahic Editor,根据逻辑原理图编辑逻辑图;3.编译。
保存文件,进行编译。
若编译结果出现0 error,0 warnings则说明编译通过;4.仿真波形。
点Max+plus II→Waveform editor,出现波形图的设置界面,然后点Node→Enter Nodes from SNF→list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plus II→Simulator,即可仿真出输出的波形。
5.配置芯片。
点Max+plus II→Floorplan editor,将 Unassigned Nodes & 栏中,电路的输入输出节点标号直接用鼠标“拖到” 想分配的引脚上,Max+plusII→programmer→configuer,然后就可以操作试验箱,观察工作情况。
四位左移/右移寄存器电路实现:波形图:注释:s0为右移使能端s1为左移使能端r为右移串行输入l为左移串行输入clr为清零端clk为时钟触发沿d b c a为输入端qd qc qb qa为输出端波形图分析:(波形图结果分析根据右移/左移使能端钟触发沿和清零端讨论)0000结果出现的原因分析:右移使能端和左移使能端都无效,时钟触发沿为下降沿,不实现移位寄存器的功能,输入dcba 为0000,输出与输入保持一致,即输出qd qc qb qa为00000001结果出现的原因分析:右移使能端有效而左移使能端无效,时钟触发沿为上升沿,清零端置为1,实现右移的功能,右移串行输入为1,上一个结果为0000,所以输出qd qc qb qa为00010011结果出现的原因分析:1、右移使能端和右移使能端都有效,时钟触发沿为上升沿,清零端置为1,实现送数的功能,输入dcba为0011,输出qd qc qb qa为00112、右移使能端和左移使能端都无效,时钟触发沿为上升沿,清零端置为1,实现保持的功能,输出与上一个结果一致,输出qd qc qb qa为00111001结果出现的原因分析:右移使能端无效而左移使能端有效,时钟触发沿为上升沿,清零端置为1,实现左移的功能,左移串行输入为1,上一个结果为0011,所以输出qd qc qb qa为10011000结果出现的原因分析:右移使能端和右移使能端都有效,时钟触发沿为上升沿,清零端置为1,实现送数的功能,输入dcba为1000,输出qd qc qb qa为10000001结果出现的原因分析:右移使能端有效而左移使能端无效,时钟触发沿为上升沿,清零端置为1,实现右移功能,右移串行输入为1,上一个结果为1000,所以,输出qd qc qb qa为00011100结果出现的原因分析:1、右移使能端和右移使能端都有效,时钟触发沿为上升沿,清零端置为1,实现送数的功能,输入dcba为1100,输出qd qc qb qa为11002、右移使能端和左移使能端都无效,时钟触发沿为上升沿,清零端置为1,实现保持的功能,输出与上一个结果一致,输出qd qc qb qa为11001110结果出现的原因分析:右移使能端无效而左移使能端有效,时钟触发沿为上升沿,清零端置为1,实现左移的功能,左移串行输入为1,上一个结果为1100,所以输出qd qc qb qa为11100000结果出现的原因分析:清零端置为0,有效,所以输出qd qc qb qa为0000引脚分配注释:72号引脚:a 26号引脚:qa 122号引脚:clk72号引脚:b 27号引脚:qb78号引脚:c 28号引脚:qc79号引脚:d 29号引脚:dq80号引脚:l81号引脚:r82号引脚:s083号引脚:s186号引脚:clr八位左移/右移寄存器电路实现波形图:注释:s0为右移使能端s1为左移使能端r为右移串行输入l为左移串行输入clr为清零端clk为时钟触发沿d7 d6 d5 d4 d3 d2 d1 d0为输入端q7 q6 q5 q4 q3 q2 q1 q0为输出端引脚分配注释:72~73号引脚:d0~d1 18~23号引脚:q0~q5 122号引脚:clk78~83号引脚:d2~d7 26~27号引脚:q6~q787~88号引脚:l~r88~89号引脚:s0~s190号引脚:clr六、实验结果74194器件逻辑功能:当清零端clr置为0时,74194实现清零功能,即输出为0000当清零端clr置为1时,时钟触发沿为上升沿,右移使能端和左移使能端同时有效,74194实现送数功能,输出与输入相同当清零端clr置为1时,时钟触发沿为上升沿,右移使能端有效和左移使能端无效,74194实现右移功能当清零端clr置为1时,时钟触发沿为上升沿,右移使能端无效和左移使能端有效,74194实现左移功能当清零端clr置为1时,时钟触发沿为上升沿,右移使能端和左移使能端无效,74194实现保持功能当清零端clr置为1时,时钟触发沿为下降沿,实现保持功能。
实验八 移位寄存器及其应用
![实验八 移位寄存器及其应用](https://img.taocdn.com/s3/m/f642a9f780eb6294dd886c70.png)
实验八移位寄存器及其应用一、实验目的1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。
2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。
二、实验原理1、寄存器使一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下一次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串如串出、串如并出、并入串出、并入并出四种形式。
2、本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图8-1所示。
其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输入端,S L为左移串行输入端;S1、S0为操作模式控制端;C R为直接无条件清零端;CP为时钟脉冲输入端。
功能见表8-1。
3、移位寄存器的应用可构成移位寄存器形计数器;:顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据等。
本实验研究移位寄存器用作环形计数器和数据的串、并行转换。
⑴环形计数器把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图8—2所示,把输入端和右移串行输入端相连接,设初始状态Q0Q1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100 → 0010 → 0001 → 1000→……,如表8—2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。
图8—2电路可以由各个输出端输出在实践上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。
如果将输出与左移串行输入端相连接,即可达到左移循环移位。
⑵串行/并行转换器串行/并行转换是指串行输入的数码,经转换电路之后变成并行输出。
图8—3是用二片CC40194(74LS194)四位双向移位寄存器组成的七位串/并行数据转换电路。
实验九 移位寄存器及其应用
![实验九 移位寄存器及其应用](https://img.taocdn.com/s3/m/457474f7fc0a79563c1ec5da50e2524de418d055.png)
实验九移位寄存器及其应用一、实验目的1.掌握中规模四位双向移位寄存器的逻辑功能2.熟悉移位寄存器的应用——实现数据的串行-并行转换和构成环形计数器二、实验设备及器件1.数字电路实验箱2.74LS194(CC40194)×2,CC4011(74LS00),CC4068(74LS30)三、实验内容及步骤1.测试74LS194的逻辑功能按图9-5接线,CR、S1、S0、S L、S R、D0、D1、D2、D3、Q0、Q1、Q2、Q3分别接至逻辑电平显示器的输入插口,CP接单次脉冲源。
按表9-5测试(1)清零令CR=0,其它输入为任意状态,这时寄存器输出Q0、Q1、Q2、Q3应均为零(2)送数令1CR,S0=S1=1,送入任意四位二进制数,如D0D1D2D3=abcd,加CP脉冲,观察CP=0、CP由0→1、CP由1→0三种情况下寄存器输出状态的变化,观察寄存器输出状态变化是否发生在CP脉冲上升沿(3)左移令1CR、S1=0、S0=1,由右移串行输入端S L送入二进制数码如1111,=由CP端连续加四个CP脉冲,观察输出端情况,记录之(4)右移令1CR、S1=0、S0=1,由右移串行输入端S R送入二进制数码如0100,=连续加四个CP脉冲,观察输出,记录之(5)保存寄存器预置任意四位二进制数码abcd,然后令1CR、S1=S0=0,加=CP脉冲,观察输出,记录之表9-52、环行计数器自拟实验线路用并行送数法预置寄存器为某二进制数(如0100),然后进行右移循环,观察寄存输出,记入表9-6中表9-63、实现数据的串行-并行转换(1)串行输入-并行输出按图9-3接线,进行右移串入-并出实验,串入数码自定;改接线路用左移方式实现并行输出。
自拟表格,记录之(2)并行输入-串行输出按图9-4接线,进行右移并入-串出实验,并入数码自定。
再改接线路用左移方式实现串行输出。
自拟表格,记录之。
实验十四 移位寄存器及其应用
![实验十四 移位寄存器及其应用](https://img.taocdn.com/s3/m/04c06200eff9aef8941e06f8.png)
实验十四移位寄存器及其应用一、实验目的1.掌握四位双向移位寄存器的逻辑功能与使用方法。
2.了解移位寄存器的使用—实现数据的串行,并行转换和构成环形计数器。
二、实验原理1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左右移的控制信号便可实现双向移位要求。
根据寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图14-1所示。
图14-1 74LS194(或CC40194)的逻辑符号及引脚排列表14-1 74LS194的功能表其中D3、D2、D1、D0为并行输入端;Q3、Q2、Q1、Q0为并行输出端;SR为右移串行输入端,SL为左移串行输入端;S1、S0为操作模式控制端;MR为无条件清零端;CP为时钟脉冲输入端。
74LS194有5种不同的操作模式:即并行送数寄存,右移(方向由Q3->Q0),左移(方向由Q0->Q3),保持及清“0”。
S1、S0和端的控制作用如表14-1所示。
2、移位寄存器应用很广,可构成移位寄存器型计数器、顺序脉冲发生器和串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。
(1)环形计数器把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如下图所示。
图14-2 环形计数器示意图将输出端Q3与输入端SR相连后,在时钟脉冲的作用下Q0Q1Q2Q3将依次右移。
同理,将输出端Q0与输入端SL相连后,在时钟脉冲的作用下Q0Q1Q2Q3将依次左移。
(2)实现数据串、并转换○1串行/并行转换器串行/并行转换是指串行输入的数据,经过转换电路之后变成并行输出。
下面是用两片74LS194构成的七位串行/并行转换电路。
数电实验报告 移位寄存器功能测试及设计
![数电实验报告 移位寄存器功能测试及设计](https://img.taocdn.com/s3/m/ab7313feaeaad1f346933fb5.png)
实验报告实验六移位寄存器功能测试及设计2.6.1实验目的(1)掌握移位寄存器的工作原理与逻辑功能。
(2)掌握集成移位寄存器74LS74的逻辑功能及应用。
2.6.2实验仪器设备与主要器件实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。
74LS74两块;74LS194两块;74LS283两块。
2.6.3实验原理1.双向移位寄存器双向移位寄存器是指在控制信号作用下,既能左移又能右移的多功能移位寄存器。
此外它还有并行输入置数、保持和异步清零等功能。
74LS194是一个典型的4位双向移位寄存器,其中,Rd为异步清零输入端,S1、S0为工作方式选择端。
D0、D1、D2、D3是数据输入端,Q0、Q1、Q2、Q3为并行数据输出端,D1L、D1R分别为左移、右移数据输出端,CP上升沿触发。
2.双向移位寄存器74LS194的应用(1)形成计数器电路,其中D1R=Q3。
0000——1000——1100——1110——1111——0111——0011——0001——0000(2)组成模12计数器电路。
000000——100000——110000——111000——111110——111111——011111——001111——000111——000011——000001——000000。
(3)形成并串转换电路。
2.6.4实验内容(2)如简图2-6-6所示,两个二进制数A(a0a1a2a3)、B(b0b1b2b3)分别存入74LS194(A)、74LS194(B),然后对它们按位相加,其和放入74LS1949(A)的移位输入中。
试才用全加器74LS283和D触发器74LS74组成能实现上述功能的电路,在74LS194(A)输出端Q0、Q1、Q2、Q3用发光二极管指示。
完善图2-6-6并依此图线调试电路,以表格的形式记录四个脉冲后的结果。
cp S0S1 B A Q0 1 0 0010 0011 00111 1 0 1001 1001 10012 1 0 1100 0100 01003 1 0 1110 1010 10104 1 0 1111 0101 0101(3)按单向移位寄存器的电路图2-6-1接线,实现串入-并出,并入-串出两种工作方式的输出序列。
移位寄存器实验报告
![移位寄存器实验报告](https://img.taocdn.com/s3/m/922923dd26fff705cc170a12.png)
移位寄存器实验报告姓名:陈素学号:3120100621 专业:软件工程课程名称:逻辑与计算机设计基础实验同组学生姓名:张闻实验时间:y yyy-mm-dd 实验地点:紫金港东4-509 指导老师:一、实验目的和要求掌握移位寄存器的工作原理及设计方法掌握串、并数据转换的概念与方法了解序列信号在CPU控制器设计中的应用二、实验内容和原理2.1 实验原理带并行置入的移位寄存器移位寄存器:每来一个时钟脉冲,寄存器中的数据按顺序向左或向右移动一位必须采用主从触发器或边沿触发器不能采用电平触发器数据移动方式:左移、右移数据输入输出方式串行输入,串行输出串行输入,并行输出并行输入,串行输出串行输入的移位寄存器使用D触发器,可构成串行输入的移位寄存器2.2 标题<正文>带并行输入的右移移位寄存器数据输入移位寄存器的方式:串行输入、并行输入带并行输入的8位右移移位寄存器module shift_reg(clk, S, s_in, p_in, Q); input wire clk, S, s_in; input wire [7:0] p_in; output wire [7:0] Q; wire [7:0] D; wire nS;FD FDQ0(.C(clk), .D(D[0]), .Q(Q[0])), FDQ1(.C(clk), .D(D[1]), .Q(Q[1])), FDQ2(.C(clk), .D(D[2]), .Q(Q[2])), FDQ3(.C(clk), .D(D[3]), .Q(Q[3])), FDQ4(.C(clk), .D(D[4]), .Q(Q[4])), FDQ5(.C(clk), .D(D[5]), .Q(Q[5])), FDQ6(.C(clk), .D(D[6]), .Q(Q[6])), FDQ7(.C(clk), .D(D[7]), .Q(Q[7]));OR2 D0_L(.I0(L_0), .I1(R_0), .O(D[0])), D1_L(.I0(L_1), .I1(R_1), .O(D[1])), D2_L(.I0(L_2), .I1(R_2), .O(D[2])), D3_L(.I0(L_3), .I1(R_3), .O(D[3])), D4_L(.I0(L_4), .I1(R_4), .O(D[4])),串行输入SD5_L(.I0(L_5), .I1(R_5), .O(D[5])), D6_L(.I0(L_6), .I1(R_6), .O(D[6])), D7_L(.I0(L_7), .I1(R_7), .O(D[7]));并行-串行转换器 没有启动命令时并行-串行转换器ser_out并行输入par_in 移位输入7位并行-串行转换器ser_out并行输入par_in 移位输入7位并行-串行转换器2.1 实验内容用Verilog HDL语言,采用结构化描述方法设计一个8位带并行输入的右移移位寄存器。
数字电路课程_移位寄存器功能测试实验报告
![数字电路课程_移位寄存器功能测试实验报告](https://img.taocdn.com/s3/m/92c3563a2f60ddccda38a0ae.png)
实验报告
一、实验名称:移存器功能测试
二、实验内容:
1、利用两块74HC(LS)74(四个触发器)构成一个单向的
移位寄存器
由于在MULTISIM中未找到双D触发器,如图1为用两
个D触发器代替双D触发器,连线大致相同。
图1
2、测试74HC(LS)194的功能
S S=00保持
(1)
10
图2 S S=01右移
(2)
10
图3
S S=10左移
(3)
10
图4
S S=11并行送数
(4)
10
图5
3、用两片74HC(LS)194做出模16的扭环计数器
利用两片74HC (LS )194级联,将第一片74HC (LS )194的Q 3输出端接到第二片74HC (LS )194的D 0,再按31SR D Q 将第二片Q 3输出端和高电平+5V 共同输入与非门74LS00,把与非门的输出接到第一片的SR D ,连接电路如图
6。
图6
三、注意事项
1、集成电路要轻插轻拔。
四、收获
1、 实际操作中,74LS74双列直插式元件每列为8个引脚,
和实验指导书中不同,应使每列的第8个引脚闲置;
2、 实验接线时,可采用按功能分块连线,比如先接输入、
输出端,再接控制端,最后接地和电源,既提高准确率又提高效率;
3、做实验之前应检查实验装置是否完好,我们试验中就遇
到一个LED不亮的情况,最后影响实验现象观察;
4、通过实验对 74LS194移存器的原理有了更进一步的了
解,对第三个实验部分电路稍作调整用可实现模为其他数的扭环计数器。
实验六 移位寄存器
![实验六 移位寄存器](https://img.taocdn.com/s3/m/96f4956ccaaedd3383c4d385.png)
实验六移位寄存器一:实验目的1. 掌握移位寄存器的工作原理,逻辑功能2. 掌握集成移位寄存器74LS194的逻辑功能及应用二:实验器材74LS00 74LS74 74LS194 CD4008B三:实验原理寄存器用于寄存一组二值代码,它被广泛应用于各类数字系统和计算机中,一个触发器能储存1位二值代码,N个触发器组成的寄存器能储存N位二值代码。
移位寄存器除了具有存储代码功能以外,还具有移位功能。
所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下依次左移或右移。
因此,移位寄存器不但可以用来寄存代码,还可以用来实现数据的串行---并行转换,数值的运算和处理。
四.实验内容(一)验证74LS194的逻辑功能,按功能表进行。
结论:74LS194的逻辑功能与实验结果相一致并且与逻辑功能表相符合.二)如图6.3所示,两个二制数A,B,分别存入74LS194(A),74LS194(B),现在要对它们进行按位相加,其和放入74LS194(A)中。
试采用全加器CD4008B和D触发器74LS74组成能实现上述要求的电路,输出用二极管指示。
有图知,满足其特性。
分析以上记录的真值表可知在预设的A为 1010,B为1001情况下,芯片U1用来对A进行移位处理、存放和显示输出结果,U2用来对B进行移位,U3为全加器,本题中设置为一位全加器,故其进位应为S2,全加器将本位的输出和用来控制A右移移位进去的数字,并用D锁存器来存储A、B全加所得和向高位的进位,并将进位结果参与下一次全加运算。
分析真值表可知,每来一个脉冲,A、B实现一次移位,全加器进行一次全加,锁存器存入所得进位数。
四个脉冲到来之后,输出结果即为A、B全加二进制结果,由表中数据得出A+B=10011,符合实验结果;之后由于B已经移出去了,实现的是A 中数与0000的全加的循环移位。
(三)设计二进制转换成十进制的数码转换电路,使上述电路在相加后可以完成用数码管显示相加结果。
实验一:移位寄存器
![实验一:移位寄存器](https://img.taocdn.com/s3/m/bfec66351fb91a37f111f18583d049649a660e56.png)
EDA与SOPC基础一一实验一: 通用移位寄存器的设计一、实验目的:学习使用QuartusII软件完成时序逻辑电路的设计, 掌握通用移位寄存器的基本设计方法。
二、实验内容:用VHDL设计一个多功能通用移位寄存器, 要求具有同步置位(load)、异步复位(clr)、无符号数左移(sel=11)、无符号数右移(sel=10)、循环左移(sel=01)、循环右移(sel=00)等六项基本功能。
结合UP-SOPC1000实验系统, 通过QuartusII软件对其进行仿真和硬件测试。
三、实验步骤:1.完成同步加载以及异步复位功能。
2.当输入sel=11时实现无符号左移功能。
3.当输入sel=10时实现无符号右移功能。
4.当输入sel=01时实现循环左移功能。
5.当输入sel=00时实现循环右移功能。
6.利用QuartusII软件对所写程序进行时序仿真与分析最后完成管脚琐定及在线编程。
四、VHDL语言程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity shift is --- 定义实体名为shift port (clk,load,clr: in bit; --- 定义输入输出端口date_in: in std_logic_vector(3 downto 0);set: in std_logic_vector(1 downto 0);date_out: out std_logic_vector(3 downto 0));end entity;architecture one of shift is --- 定义结构体名为one signal date :std_logic_vector(3 downto 0);beginprocess(clk,clr,set,date_in,load) --- 进程的开始, 主程序部分 beginif clr = '1' then date <= "0000";elsif clk'event and clk = '1' thenif load='1' then date <= date_in;elsecase set iswhen "11" => date <= date(2 downto 0) & '0' ; --- 无符号左移when "10" => date <= '0' & date(3 downto 1) ; --- 无符号右移when "01" => date <= date(2 downto 0) & date(3) ; --- 循环左移when "00" => date <= date(0) & date(3 downto 1) ; --- 循环右移when others => date <= "0000";end case;end if;end if;date_out <= date; --- 输出数据end process;end one;五、顶层电路框图及时序波形图六、引脚说明以及波形分析根据要求, 程序中clr是具有异步复位功能, 当为1时对系统进行复位, 其优先级最高;Load是同步置位功能, 当时钟上升沿来临时若此时load=1,则输出当前值;Clk是时钟信号, 上升沿有效;Sel为选通信号, 取值范围sel={00,01,10,11}分别对应循环右移, 循环左移, 无符号右移和无符号左移;从波形图中可以看出, 在异步复位信号clr为1的期间, 系统处于复位状态, 输出一直为0;在异步复位信号为0以及同步置位信号load为1期间, 也就是第三个上升沿之时, 系统输出当前的状态data_in=1101;接下来sel=00表示循环右移, 随着上升沿的到来输出从1101到1110再到0111, 这是循环右移的过程, 此后, 随着选通信号sel的变化, 输出随之而变化, 符合实验的要求。
计算机组成原理移位寄存实验报告
![计算机组成原理移位寄存实验报告](https://img.taocdn.com/s3/m/4020bfec81c758f5f61f67f9.png)
计算机组成原理实验二移位寄存实验一、实验目的:1、了解移位寄存器的硬件电路,验证移位控制与寄存的组合功能。
2、利用寄存器进行数据传输。
二、实验要求:实现寄存器移位操作,了解通用寄存器的运用。
三、实验原理:移位运算实验原理图移位运算实验原理如图所示,使用了一片74LS299作为移位发生器,其八输入/输出端以排针方式和总线单元连接。
299—B信号控制其使能端,T4时序为其时钟脉冲,实验时将“W/R UNIT”中的T4接至“STATE UNIT”中的KK2单脉冲发生器,由S0、S1、M控制信号控制其功能状态,其列表如下:299—B S 1 S 0 M 功能0 0 0 任意保持0 1 0 0 循环右移0 1 0 1 带进位循环右移0 0 1 0 循环左移0 0 1 1 带进位循环左移任意 1 1 任意装数四、实验连接:1.运算器控制信号连接:S0,S1,M,LDCZY,LDR0,/SW-B,/SR-B,/R0-B2.完成连接并检查无误后接通电源。
五、实验仪器状态设定:在闪动的“P.”状态下按动“增址”命令键,使LED显示器自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态。
五、实验项目:(一)移位寄存器置数首先置CBA=000,然后按下面流程操作:数据开关(01101011)三态门置数(01101011)三态门[CBA=001] [S0=1,S1=1] [CBA=111][ “按STEP” ](二)寄存器移位置CBA=001并输入数据,然后置CBA=111,参照实验原理中的移位寄存器控制特性表改变S0、S1、M,按动“单步”命令键,实验发现数据移位正确。
(三)移位结果寄存我们选取R0,把移位寄存器移位后的内容寄存到通用寄存器。
在移位操作后保持CBA=111,S0=0,S1=0,然后令LDR0=1,再按动“单步”命令键,完成移位结果保存。
(四)移位结果读出置CBA=100,总线指示灯显示R0内容,与上步中存的数一致。
集成移位寄存器实验报告
![集成移位寄存器实验报告](https://img.taocdn.com/s3/m/64547b8ba0c7aa00b52acfc789eb172ded63993e.png)
集成移位寄存器实验报告1.实验目的本次实验旨在通过使用集成移位寄存器来深入了解移位寄存器的工作原理,掌握其使用方法,并验证其功能。
通过实验,我们期望提高对集成电路的理解和实际操作能力,同时为今后的电子设计提供实践经验。
2.实验原理移位寄存器是数字电路中的重要组成部分,它能够将数据按照设定的位数向左或向右移动。
集成移位寄存器是一种四位或八位的移位寄存器,它由触发器和移位寄存器构成。
在时钟信号的控制下,数据在寄存器中向左或向右移动。
3.实验设备实验所需设备包括:集成移位寄存器、电源、时钟发生器、数据输入开关、测试仪器、示波器等。
4.实验步骤(1)按照电路图连接实验设备,确保电源和信号线的连接正确无误。
(2)设置时钟发生器,为移位寄存器提供时钟信号。
(3)设置数据输入开关,为移位寄存器提供输入数据。
(4)观察测试仪器的输出结果,记录实验数据。
(5)使用示波器观察移位寄存器的时序波形,了解其工作原理。
5.实验结果实验过程中,我们观察到移位寄存器的输出随着时钟信号的变化而变化。
当输入数据为0001时,经过四个时钟周期后,输出数据变为0100;当输入数据为1011时,经过四个时钟周期后,输出数据变为1100。
这说明移位寄存器能够将数据向左移动四位。
6.实验总结通过本次实验,我们深入了解了集成移位寄存器的工作原理和使用方法。
实验结果表明,移位寄存器能够实现数据的向左或向右移动,具有广泛的应用价值。
在今后的电子设计中,我们可以利用集成移位寄存器的特点来实现数据的处理和传输。
此外,本次实验也提高了我们的实践能力和对数字电路的理解。
移位寄存器实验心得(精品5篇)
![移位寄存器实验心得(精品5篇)](https://img.taocdn.com/s3/m/87fb6193d0f34693daef5ef7ba0d4a7302766c1e.png)
移位寄存器实验心得(精品5篇)移位寄存器实验心得篇1以下是一篇移位寄存器实验心得:移位寄存器实验心得移位寄存器是数字电路中的一个基本组件,它可以在一个有限位的寄存器中存储数据,并可以通过移位操作将数据向左或向右移动。
在本次实验中,我们通过使用移位寄存器来实现一个简单的计数器,并通过对移位寄存器的操作来实现其他功能。
在实验中,我们首先使用了一个4位二进制移位寄存器来实现计数器。
我们通过输入不同的数值,并使用移位操作来控制计数器的计数方式。
通过观察实验结果,我们发现计数器的计数方式与我们所输入的数值和移位操作有关。
接着,我们使用移位寄存器来实现了一个简单的LED显示电路。
我们将移位寄存器中的数据通过一个数码管显示出来,从而实现了LED显示的功能。
在这个实验中,我们学习了如何将数字转换成二进制码,并将其存储在移位寄存器中,然后通过数码管将数据显示出来。
最后,我们使用移位寄存器来实现了一个简单的电子琴电路。
我们将移位寄存器中的数据通过一个电子琴模拟出来,从而实现了电子琴的功能。
在这个实验中,我们学习了如何将数字转换成二进制码,并将其存储在移位寄存器中,然后通过电子琴将数据模拟出来。
通过这次实验,我们不仅学习了移位寄存器的基本原理和操作方法,还加深了对数字电路的理解和认识。
同时,我们也学会了如何将理论知识与实际操作相结合,提高了我们的动手能力和解决问题的能力。
移位寄存器实验心得篇2在进行移位寄存器实验的过程中,我不仅对移位寄存器有了更深入的理解,还掌握了一些实际操作技巧。
以下是我对这次实验的心得体会。
首先,实验开始前,我对于移位寄存器的工作原理感到困惑。
但是在实验过程中,我逐渐明晰了其工作机制。
移位寄存器是一种具有存储功能的电子元件,可以将数据从高位移至低位或低位移至高位,从而实现数据的传递和存储。
这一过程让我对电子元件的工作原理有了更深入的了解。
在实验过程中,我遇到了一些问题,例如在编程时出现了错误。
但是,通过查阅相关资料和反复试验,我逐渐找到了解决问题的方法。
实验五 移位寄存器及其应用
![实验五 移位寄存器及其应用](https://img.taocdn.com/s3/m/86a327f308a1284ac85043ac.png)
实验五移位寄存器及其应用一、实验目的1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。
2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。
二、实验原理1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图5-1所示。
图5-1 CC40194的逻辑符号及引脚功能其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串C为直接无条件清零端;行输入端,S L为左移串行输入端;S1、S0为操作模式控制端;RCP为时钟脉冲输入端。
CC40194有5种不同操作模式:即并行送数寄存,右移(方向由Q0→Q3),左移(方向由Q3→Q0),保持及清零。
S1、S0和R C端的控制作用如表5-1。
表5-12、移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。
本实验研究移位寄存器用作环形计数器和数据的串、并行转换。
(1)环形计数器把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图5-2所示,把输出端Q3和右移串行输入端S R 相连接,设初始状态Q0Q1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100→0010→0001→1000→……,如表5-2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。
图5-2 电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。
移位寄存器实验报告
![移位寄存器实验报告](https://img.taocdn.com/s3/m/ed3dcd0568eae009581b6bd97f1922791688be25.png)
移位寄存器实验报告移位寄存器实验报告引言移位寄存器是数字电路中常用的模块,它能够将输入的数据按照一定的规则进行移位操作。
本次实验旨在通过实际搭建移位寄存器电路,并通过观察输出结果来验证其功能和性能。
实验目的1. 掌握移位寄存器的工作原理和基本结构;2. 了解不同类型的移位寄存器,并能够根据需求选择合适的类型;3. 熟悉移位寄存器的应用场景和使用方法。
实验器材1. 移位寄存器芯片;2. 电路连接线;3. 示波器;4. 信号发生器。
实验步骤1. 将移位寄存器芯片连接到电路板上,并根据实验要求进行电路连接;2. 使用信号发生器产生输入信号,并将其输入到移位寄存器中;3. 通过示波器观察移位寄存器的输出信号,并记录下观察结果;4. 根据实验要求调整输入信号的频率和幅度,并观察移位寄存器的响应情况;5. 分析实验结果,总结移位寄存器的特性和应用。
实验结果在本次实验中,我们使用了一个4位移位寄存器芯片,并将其连接到电路板上。
通过信号发生器产生的输入信号,我们观察到移位寄存器的输出信号按照一定的规则进行了移位操作。
当输入信号的频率较低时,移位寄存器的输出信号可以清晰地观察到每一位的变化;而当输入信号的频率较高时,移位寄存器的输出信号则呈现出连续的变化。
通过实验结果的观察和分析,我们可以得出以下结论:1. 移位寄存器的工作原理是将输入信号按照一定的规则进行移位操作;2. 移位寄存器的输出信号与输入信号的频率和幅度有关;3. 移位寄存器可以用于数据的平移、扩展、压缩等操作;4. 不同类型的移位寄存器具有不同的特性和应用场景。
讨论与总结移位寄存器作为数字电路中的重要模块,在各种电子设备中都有广泛的应用。
它不仅可以用于数据的移位操作,还可以用于数据的存储、传输和处理。
在计算机系统中,移位寄存器常常用于数据的输入和输出,以及数据的处理和控制。
本次实验通过实际搭建移位寄存器电路,并观察其输出结果,使我们更加深入地了解了移位寄存器的工作原理和应用。
实验二 移位寄存器
![实验二 移位寄存器](https://img.taocdn.com/s3/m/d6653f412e3f5727a5e96265.png)
实验报告
院系:
专业:
班级:
学号:
姓名:
日期:
实验二移位寄存器的设计
一、实验目的
1、了解移位寄存器的原理;
2、掌握不同功能的移位寄存器的VHDL设计方法;
3、掌握VHDL顺序语句的嵌套使用方法。
二、实验内容
1、完成一个8位的可预置数的双向移位寄存器的VHDL设计,基本功能要求:
(1) 8位并行数据输入;
(2)双向数据串行输出;
扩展功能要求(选做):
(1)双向串行数据输入;
(2)并行数据输出;
(3)双向循环移位;
2、完成上述设计的功能及时序仿真;
三、实验报告要求
1、画出设计实体的元件图,例如;
2、写出设计程序;
3、总结编译与仿真过程发现的错误原因及修正方法(附仿真波形)。
实验总结:。
10实验十 移位寄存器
![10实验十 移位寄存器](https://img.taocdn.com/s3/m/d5793f38eefdc8d376ee326c.png)
实验十移位寄存器一、实验目的1.掌握移位寄存器的工作原理及电路组成。
2.测试双向移位寄存器的逻辑功能。
3.掌握二进制码的串行并行转换技术、二进制码的传输和累加。
二、实验原理1.单向移位寄存器移位寄存器是一种由触发器连接组成的同步时序电路。
每个触发器的输出连到下一级触发器的控制输入端,在时钟的作用下,存贮在移位寄存器中的信息,逐位左移或右移。
移位寄存器的清零方式有两种:一种是将所有触发器的清零端CLR’连在一起,置位端S连在一起,当CLR=0,S=1时,Q端为0,这种方式称为“异步清零”。
另一种方法是在串行输入端输入“0”电平,接着从CK端送4个脉冲,则所有触发器也可清至零状态。
这种方式称为“同步清零”。
74LS164为集成的八位移位寄存器,特点是选通串行输入,并行输出。
器件功能和外部引脚排列如图10-1所示。
1 2 3 4 5 6 7 图10-1 74LS164引脚排列CLR:清零CK(CP):时钟A、B:串入Q A~Q B:并出2.双向移位寄存器74LS194为集成的四位双向移位寄存器,当清零端(CLR)为低电平时,输出端(Q A、Q B、Q C、Q D)均为低电平(零)。
当工作方式控制端(S1、S0)均为高电平时,在时钟(CK)上升沿作用下,并行数据(A、B、C、D)被送入相应的输出端(Q A、Q B、Q C、Q D),此时串行数据被禁止;当S1为低电平,S0为高电平时,在时钟CK上升沿作用下进行右移操作,数据由R送入;当S1为高电平,S0低电平时,在时钟CK上升沿作用下进行左移操作,数据由L送入;当S0和S1为低电平时,时钟CK被禁止, 移位寄存器保持不变。
三、实验仪器和器件1.实验仪器DZX-2B型电子学综合实验装置2.器件74LS00(二输入端四与非门)、74LS20(四输入端二与非门)74LS76(双J-K触发器)、74LS164(单向移位寄存器)四、实验内容1.由四个主从J-K触发器构成简单的四位串行移位寄存器(用74LS76),并测量其逻辑功能;由四个J 、K 主从触发器组成 Cin : 接单脉冲 CK : 接单脉冲 R 、S :接逻辑电平 A~D : 接电平显示图10-3置位串行输入时钟清零图10-2接电平显示(1)将双JK 触发器两块74LS76插入DZX-2B 型电子学综合实验装置上的IC 插座,按图10-2连接成四位串行移位寄存器。
数电实验之移位寄存器
![数电实验之移位寄存器](https://img.taocdn.com/s3/m/9b48788ad0d233d4b14e69da.png)
移位寄存器一实验目的1.学习用D触发器构成移位寄存器(环行计数器)2.掌握中规模集成电路双向移位寄存器逻辑功能及使用方法二实验原理1、用4个D触发器组成4位移位寄存器,将每位即各D触发器的输出Q1、Q2、Q3、Q4分别接到四个0—1指示器(LED)将最后一位输出Q4反馈接到第一位D触发器的输入端,则构成一简单的四位移位环行计数器。
2、移位寄存器具有移位功能,是指寄存器中所存的代码能够在时钟脉冲的作用下依次左移或右移。
对于即能左移又能右移的寄存器称为双向移位寄存器。
只需要改变左移、右移的控制信号便可实现双向移位的要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向移位寄存器,型号为74LS194A(或CD40194),两者功能相同,其引脚分布图如下图18.1所示:其中A、B、C、D为并行输入端,A为高位依次排列;Q A、Q B、Q C、Q D为并行输出端;S R为右移串行输入端;S L为左移串行输入端;S1、S0为操作模式控制端;CLR为异步清零端;低电平有效;CLK为CP时钟脉冲输入端。
74LS194A有5种工作模式:并行输入,右移(Q D→Q A),左移(Q D←Q A),保持和清零。
74LS194功能表如表18.1所示:CLR CP S1S0工作状态0 1 1 1 1 XX↑↑↑X X000 1101 1置零保持右移,S R为串行输入,Q A为串行输出左移,S L为串行输入,Q D为串行输出并行输入表18.1三实验器件数字实验箱集成电路芯片:74LS74×2 (CD4013×2);74LS75 ;74LS76 ;74LS194A (CD40194)。
图18.1四实验内容1.用74LS74组成移位寄存器,使第一个输出端点亮LED并使其右移循环。
顺序是FF1、FF2、FF3、FF4。
A) 1. 用两个74LS74按图18.2连接:图18.21. CP时钟输入先不接到电路中(单步脉冲源或连续脉冲源);1.连接线路完毕,检查无误后加+5V电源;2.观察4个输出端的LED应该是不亮的,如果有亮的话,应按清零端的逻辑开关,(给出一个低电平信号清零后,再将开关置于高电平)即将4个D触发器输出端的LED清零。
数字电路实验报告-移位寄存器及其应用
![数字电路实验报告-移位寄存器及其应用](https://img.taocdn.com/s3/m/019673712af90242a895e5f0.png)
电学实验报告模板实验原理移位寄存器是逻辑电路中的一种重要逻辑部件,它能存储数据,还可以用来实现数据的串行-并行转换、数据的运算和处理。
1.寄存器(1)D触发器图1 D触发器图1所示D触发器。
每来一个CLK脉冲,触发器都在该CLK脉冲的上升沿时刻,接收输入数据D,使之作为触发器的新状态。
D触发器的特性方程为(2)用D触发器构成并行寄存器图2 用D触发器构成并行寄存器图2所示为用D触发器构成四位并行寄存器。
为异步清零控制端,高电平有效。
当时,各触发器输出端Q的状态,取决于CLK上升沿时刻的D端状态。
2.移位寄存器(1)用D触发器构成移位寄存器图3 用D触发器构成4位串行移位寄存器图3所示为用D触发器构成的4位串行移位寄存器。
其中左边第一个触发器的输入端接收输入数据,其余的每一个触发器的输入端均与左边相邻的触发器的Q端连接。
当时钟信号CLK的上升沿时刻,各触发器同时接收输入数据。
四位寄存器的所存数据右移一位。
(2)双向移位寄存器74LS194图4 双向移位寄存器74LS194逻辑框图图4 所示为集成电路芯片双向移位寄存器74LS194逻辑框图。
为便于扩展逻辑功能,在基本移位寄存器的基础上增加了左右移控制、并行输入、保持和异步清零等功能。
74LS194的逻辑功能如表1所列。
表13.用移位寄存器构成计数器(1)环形计数器图5 环形计数器如果将移位寄存器的串行移位输出端接回到串行移位输入端,如图5所示。
那么,在时钟CLK的作用下,寄存器里的数据将不断循环右移。
例如,电路的初始状态为,则电路的状态转换图如图6所示。
可以认为,这是一个模4计数器。
图6 环形计数器状态转换图实验内容及步骤1. 用两片74LS74构成四位移位寄存器(1)74LS74引脚图图10 74LS74引脚图(2)用74LS74构成四位移位寄存器图11 用74LS74构成四位移位寄存器实验电路按照图11连接电路。
首先设置,使寄存器清零。
然后,设置,在CLK输入端输入单次脉冲信号当作时钟信号,通过输出端的发光二极管观察的状态,判断移位的效果。
移位寄存器原理实验报告
![移位寄存器原理实验报告](https://img.taocdn.com/s3/m/a17c067b11661ed9ad51f01dc281e53a580251ce.png)
D SET Q
1
D1 D SET Q
1
D2 D SET Q
1
D3 D SET Q
时钟脉冲 逻辑开关1
Q CLR
Q CLR
Q CLR
Q CLR
步骤(1)把第四个触发器的反馈换成反相输出端; (2)逻辑开关1置低电平,使四个触发器全部复位; (注意:复位后一定要恢复高电平) (3)用单脉冲触发,观察电路状态转换。
1
D1 D SET Q
1
D2 D SET Q
1
D3 D SET Q
时钟脉冲 逻辑开关1
Q CLR
Q CLR
Q CLR
Q CLR
步骤(1)时钟脉冲接单脉冲;
(2)逻辑开关1置低电平,使四个触发器全部复位;
(注意:复位后一定要恢复高电平)
(3)逻辑开关2置低电平,使触发器FF0置1;
(注意:置位后一转换。
•3
环形移位寄存器的状态转换图:
1000
0100 1100
0110
0001 0010
有效循环
1110
0111
1001
0011
无效循环
0000 1111
0000 1111
1101
1011
0101 1010
无效循环
•4
无效循环
2、扭环形移位寄存器
逻辑开关2 D0
实验目的
1、掌握移位寄存器的概念; 2、掌握计数器型移位寄存器,环形移位 寄存器和扭环形移位寄存器。
•1
实验器材
数字电路实验箱,集成电路芯片74LS74×2
Q
Q
–
–
PRESD D CP RD CLR
直接置位端 低电平有效
移位寄存器实验
![移位寄存器实验](https://img.taocdn.com/s3/m/898a48cf050876323112123b.png)
2 3 4 5 6 7 11 9 10 1
CT74LS194 DSR D0 D1 D2 D3 DSL CP M0 M1
○
Q0 Q1 Q2 Q3
15 14 13 12
CR
图2-44 CT74LS194的逻辑符号
输入
CR
输出 并行 D0 D1 D2 D3 ΦΦΦΦ ΦΦΦΦ d d d d ΦΦΦΦ ΦΦΦΦ ΦΦΦΦ ΦΦΦΦ ΦΦΦΦ Q0 Q1 Q2 Q3 功能
输入 串行 CP DSR DSL 并行
输出 功能 Q0 Q1 Q2 Q3 D0 D1 D2 D3
CR
M0 M1
0
Φ
Φ
Φ
Φ
Φ
ΦΦΦΦ
0 0 0 0
CR=0,使 Q3 Q2Q1Q0=0000寄 存器正常工作时, CR =1
CP脉冲未到来时,保持 作用后,并行输入数据送 入寄存器。 D3 D2D1D0,,此时串行 数据(DSR、DSL) 被禁止。 串行数据送至左移输入端 DSL,CP脉冲上升沿 进行左移。Q0 Q1 Q2Q3= Q0 Q1 Q2 DSL
0 1 1 1 1 1 1 1
模式 M0 M1 Φ Φ Φ Φ 1 1 0 1 0 1 1 0 1 0 0 0
CP Φ 0
Φ
串行 DSR DSL Φ Φ Φ Φ Φ Φ Φ 1 Φ 0 1 Φ 0 Φ Φ Φ
2. 用74LS194和与非门组成的实验电路图如图2-45所示。在 CP脉冲的作用 下,观察并记录输出端Q0Q1Q2Q3的状态,说明此电路能完成的功能。 3. 用D触发器组成单向移位寄存器。 (有余力的同学做) 有余力的同学做) 按图2-43连接电路,数据F=1011依次串行输入D0,观察并记录各触发器的 输出状态。数据F从Q0Q1Q2Q3并行输出时,需要经过几个脉冲?数据F从Q3 串行输出时,需要经过几个CP脉冲?试通过实验观察并记录。 四.实验报告要求 1. 整理实验结果,填入相应表格。 2. 总结移位寄存器功能和应用。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
移位寄存器实验报告
移位寄存器和计数器的设计
实—期:
专业班级:_姓名:_____________ 学号:
一、实验目的
1. 了解二进制加法计数器的工作过程。
2. 掌握任意进制计数器的设计方法。
实验内容
(一)用D触发器设计左移移位寄存器
(二)利用74LS161和74LS00设计实现任意进制的计数器
设计要求:
以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)三、实验原理图
1. 由4个D触发器改成的4位异步二进制加法计数器
(输入二进制:11110000)
2. 测试74LS161的功能
输入端 输出
时 清 置 P T Qn
钟 J —| —A
零 数
3. 熟悉用74LS161设计十进制计数器的方法。
①利用置位端实现十进制计数器。
16 15 14 13 12 1 1 10 9 74LS161
12 3 4 5 1
6 7 8 捺出 LD
數据输入
Ci- GND 允许
”邃 <―二^允详置人
出 Qo Qi O2 Q?
② 利用复位端实现十进制计数器。
四、实验结果及数据处理
1. 左移寄存器实验数据记录表
要求:输入二进制:11110000
移位寄存器状态
X
X X X 清零
+ 1
X X 置数
+
1 1 1 1
计数
X 1 1 0 X 不计
数
X 1 1 X 0 不计
数
1 1— CP-
共阴极
共阴机
数码管
数码管
C B
I s
1
D C B A
74LS161
q 小 Ditl IT 「「
-1
(741SQ0]
移位脉冲的次Q4Q3Q2Q1 00000
10001
20011
3
0111
4
1111
5
1110 61100
7
1000 80000
2. 画出你所设计的任意进制计数器的线路图(计数器从零开始计数),并
简述设计思路
8 进制
利用复位法实现8进制计数器,8=1000B将A端同与非门相连,当A端
=1时,使复位端获得信号,复位,从而实现8进制。
五、思考题
1. 74LS161是同步还是异步,加法还是减法计数器?
答:在上图电路中74LS161是异步加法计数器。
2. 设计十进制计数器时将如何去掉后6个计数状态的?
答:通过置位端实现时,将Q、Q3接到与非门上,输出连接到置位控制
端。
当Q=1, Q=0, Q=0, Q=1,即十进制为9时,与非门输入端Q、Q同时为高电平,位控制端为低电位,等到下一个CP上升沿到来时,完成置
数,全部置为0。
3. 谈谈电子实验的心得体会,希望同学们提出宝贵意见。
答:通过这学期的电子实验,我对电子电路有了更加深入地了解。
初步了解了触发器、寄存器、计数器等电子元件的使用。
将理论与实践相结合,更加深入的了解了电子技术,学到了很多,对这学期的电子实验十分满意。